Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/602902.603013acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article
Free access

Path selection and pattern generation for dynamic timing analysis considering power supply noise effects

Published: 05 November 2000 Publication History

Abstract

Noise effects such as power supply and crosstalk can significantly affect the performance of deep submicron designs. These delay effects are highly input pattern dependent. Existing path selection and timing analysis techniques cannot capture the effects of noise on cell/interconnect delays. Therefore, the selected critical paths may not be the longest paths and predicted circuit performance might not reflect the worst-case circuit delay. In this paper, we propose a path selection technique that can consider power supply noise effects on the propagation delays. Next, for the selected critical paths, we propose a pattern generation technique for dynamic timing analysis such that the patterns produce the worst-case power supply noise effects on the delays of these paths. Our experimental results demonstrate the difference in estimated circuit performance for the case when power supply noise effects are considered vs. when these effects are ignored. Thus, they validate the need for considering power supply noise effects on delays during path selection and dynamic timing analysis.

References

[1]
Y.-M. Jiang and K.-T. Cheng. Analysis of Performance Impact Caused by Power Supply Noise in Deep Submicron Devices. Proc. DAC, pages 760-765, June 1999.
[2]
Synopsys. PrimeTime User Guide. May 1999.
[3]
A. Krstić, Y.-M. Jiang, and K.-T. Cheng. Delay Testing Considering Power Supply Noise Effects. Proc. ITC, pages 181-190, September 1999.
[4]
J.-J. Liou, A. Krstić, K.-T. Cheng, D. Mukherjee, and S. Kundu. Performance Sensitivity Analysis Using Statistical Methods and Its Applications to Delay Testing. Proc. ASP DAC, pages 587-592, January 2000.
[5]
R. Senthinathan and J. L. Prince. Simultaneous Switching Noise of CMOS Devices and Systems. Kluwer Academic Publishers, Boston, MA, 1997.
[6]
Y.-S. Chang, S. K. Gupta, and M. A. Breuer. Analysis of Ground Bounce in Deep Sub-Micron Circuits. Proc. VTS, pages 110-116, April 1997.
[7]
H. H. Chen and D. D. Ling. Power Supply Noise Analysis Methodology for Deep Submicron VLSI Chip Design. Proc. DAC, pages 638-643, June 1997.
[8]
Y.-M. Jiang, K.-T. Cheng, and A.-C. Deng. Estimation of Maximum Power Supply Noise for Deep Sub-Micron Designs. Proc. of ISLPED, pages 233-238, August 1998.
[9]
D. E. Goldberg and R. Burch. Genetic Algorithms in Search, Optimization, and Machine Learning. Addison-Wesley, Reading, MA, 1989.
[10]
H. Edamatsu, K. Homma, M. Kakimoto, Y. Koike, and K. Tabuchi. Pre-Layout Delay Calculation Specification for CMOS ASIC Libraries. Proc. ASP DAC, pages 241-248, February 1998.
[11]
Y.-M. Jiang, K.-T. Cheng, and A. Krstić. Estimation of Maximum Power and Instantaneous Current Using A Genetic Algorithm. Proc. CICC, pages 135-138, May 1997.
[12]
Synopsys. PowerMill Reference Manual. May 1999.

Cited By

View all
  • (2019)IR-ATAProceedings of the 24th Asia and South Pacific Design Automation Conference10.1145/3287624.3287683(152-159)Online publication date: 21-Jan-2019
  • (2011)Input necessary assignments for testing of path delay faults in standard-scan circuitsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2009.203186519:2(333-337)Online publication date: 1-Feb-2011
  • (2010)Testing methods for detecting stuck-open power switches in coarse-grain MTCMOS designsProceedings of the International Conference on Computer-Aided Design10.5555/2133429.2133460(155-161)Online publication date: 7-Nov-2010
  • Show More Cited By
  1. Path selection and pattern generation for dynamic timing analysis considering power supply noise effects

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '00: Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design
    November 2000
    558 pages
    ISBN:0780364481

    Sponsors

    Publisher

    IEEE Press

    Publication History

    Published: 05 November 2000

    Check for updates

    Qualifiers

    • Article

    Conference

    ICCAD '00
    Sponsor:
    ICCAD '00: International Conference on Computer Aided Design
    November 5 - 9, 2000
    California, San Jose

    Acceptance Rates

    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)47
    • Downloads (Last 6 weeks)6
    Reflects downloads up to 31 Dec 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2019)IR-ATAProceedings of the 24th Asia and South Pacific Design Automation Conference10.1145/3287624.3287683(152-159)Online publication date: 21-Jan-2019
    • (2011)Input necessary assignments for testing of path delay faults in standard-scan circuitsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2009.203186519:2(333-337)Online publication date: 1-Feb-2011
    • (2010)Testing methods for detecting stuck-open power switches in coarse-grain MTCMOS designsProceedings of the International Conference on Computer-Aided Design10.5555/2133429.2133460(155-161)Online publication date: 7-Nov-2010
    • (2010)Layout-aware pseudo-functional testing for critical paths considering power supply noise effectsProceedings of the Conference on Design, Automation and Test in Europe10.5555/1870926.1871271(1432-1437)Online publication date: 8-Mar-2010
    • (2010)Path selection for transition path delay faultsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2008.201191318:3(401-409)Online publication date: 1-Mar-2010
    • (2010)Hazard-based detection conditions for improved transition fault coverage of scan-based testsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2008.201021618:2(333-337)Online publication date: 1-Feb-2010
    • (2008)Robust test generation for power supply noise induced path delay faultsProceedings of the 2008 Asia and South Pacific Design Automation Conference10.5555/1356802.1356962(659-662)Online publication date: 21-Jan-2008
    • (2008)Statistical timing analysis considering spatially and temporally correlated dynamic power supply noiseProceedings of the 2008 international symposium on Physical design10.1145/1353629.1353665(160-167)Online publication date: 13-Apr-2008
    • (2008)Timing Analysis Considering Temporal Supply Voltage FluctuationIEICE - Transactions on Information and Systems10.1093/ietisy/e91-d.3.655E91-D:3(655-660)Online publication date: 1-Mar-2008
    • (2007)Timing Analysis Considering Spatial Power/Ground Level VariationIEICE Transactions on Fundamentals of Electronics, Communications and Computer Sciences10.1093/ietfec/e90-a.12.2661E90-A:12(2661-2668)Online publication date: 1-Dec-2007
    • Show More Cited By

    View Options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Login options

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media