Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/2132325.2132347acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Ripple: an effective routability-driven placer by iterative cell movement

Published: 07 November 2011 Publication History

Abstract

In this paper, we describe a routability-driven placer called Ripple. Two major techniques called cell inflation and net-based movement are used in global placement followed by a rough legalization step to reduce congestion. Cell inflation is performed in the horizontal and the vertical directions alternatively. We propose a new method called net-based movement, in which a target position is calculated for each cell by considering the movement of a net as a whole instead of working on each cell individually. In detailed placement, we use a combination of two kinds of strategy: the traditional HPWL-driven approach and our new congestion-driven approach. Experimental results show that Ripple is very effective in improving routability. Comparing with our pervious placer, which is the winner in the ISPD 2011 Contest, Ripple can further improve the overflow by 38% while reduce the runtime is reduced by 54%.

References

[1]
C. Alpert, Z. Li, M. Moffitt, G. Nam, J. Roy, and G. Tellez. What makes a design difficult to route. In ISPD, pages 7--12, 2010.
[2]
U. Brenner and A. Rohe. An effective congestion-driven placement framework. TCAD, 22(4):387--394, 2003.
[3]
Y. Chuang, G. Nam, C. Alpert, Y. Chang, J. Roy, and N. Viswanathan. Design-hierarchy aware mixed-size placement for routability optimization. In ICCAD, pages 663--668, 2010.
[4]
W. Hou, H. Yu, X. Hong, Y. Cai, W. Wu, J. Gu, and W. Kao. A new congestion-driven placement algorithm based on cell inflation. In ASP-DAC, pages 605--608, 2001.
[5]
Z. Jiang, B. Su, and Y. Chang. Routability-driven analytical placement by net overlapping removal for large-scale mixed-size designs. In DAC, pages 167--172, 2008.
[6]
A. Kahng and Q. Wang. Implementation and extensibility of an analytic placer. TCAD, 24(5):734--747, 2005.
[7]
M. Kim, D. Lee, and I. Markov. SimPL: An Effective Placement Algorithm. In ICCAD, pages 649--656, 2010.
[8]
C. Li, M. Xie, C. Koh, J. Cong, and P. Madden. Routability-driven placement and white space allocation. TCAD, 26(5):858--871, 2007.
[9]
M. Pan, N. Viswanathan, and C. Chu. An efficient and effective detailed placement algorithm. In ICCAD, pages 48--55, 2005.
[10]
J. Roy, N. Viswanathan, G. Nam, C. Alpert, and I. Markov. CRISP: congestion reduction by iterated spreading during placement. In ICCAD, pages 357--362, 2009.
[11]
P. Spindler and F. Johannes. Fast and accurate routing demand estimation for efficient routability-driven placement. In DATE, pages 1226--1231, 2007.
[12]
P. Spindler, U. Schlichtmann, and F. Johannes. Kraftwerk2: A fast force-directed quadratic placement approach using an accurate net model. TCAD, 27(8):1398--1411, 2008.
[13]
N. Viswanathan, C. Alpert, C. Sze, Z. Li, G. Nam, and J. Roy. The ISPD-2011 routability-driven placement contest and benchmark suite. In ISPD, pages 141--146, 2011.
[14]
X. Yang, B. Choi, and M. Sarrafzadeh. Routability-driven white space allocation for fixed-die standard-cell placement. TCAD, 22(4):410--419, 2003.

Cited By

View all
  • (2017)An integrated-spreading-based macro-refining algorithm for large-scale mixed-size circuit designsProceedings of the 36th International Conference on Computer-Aided Design10.5555/3199700.3199766(496-503)Online publication date: 13-Nov-2017
  • (2016)Ripple 2.0ACM Transactions on Design Automation of Electronic Systems10.1145/292598922:1(1-26)Online publication date: 2-Sep-2016
  • (2015)POLAR 3.0Proceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840892(520-527)Online publication date: 2-Nov-2015
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '11: Proceedings of the International Conference on Computer-Aided Design
November 2011
844 pages
ISBN:9781457713989
  • General Chair:
  • Joel Phillips,
  • Program Chairs:
  • Alan J. Hu,
  • Helmut Graeb

Sponsors

Publisher

IEEE Press

Publication History

Published: 07 November 2011

Check for updates

Qualifiers

  • Research-article

Conference

ICCAD '11
Sponsor:

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)4
  • Downloads (Last 6 weeks)0
Reflects downloads up to 12 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2017)An integrated-spreading-based macro-refining algorithm for large-scale mixed-size circuit designsProceedings of the 36th International Conference on Computer-Aided Design10.5555/3199700.3199766(496-503)Online publication date: 13-Nov-2017
  • (2016)Ripple 2.0ACM Transactions on Design Automation of Electronic Systems10.1145/292598922:1(1-26)Online publication date: 2-Sep-2016
  • (2015)POLAR 3.0Proceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840892(520-527)Online publication date: 2-Nov-2015
  • (2015)BonnPlaceProceedings of the 2015 Symposium on International Symposium on Physical Design10.1145/2717764.2717778(9-16)Online publication date: 29-Mar-2015
  • (2015)Closing the Gap between Global and Detailed PlacementProceedings of the 2015 Symposium on International Symposium on Physical Design10.1145/2717764.2717776(149-156)Online publication date: 29-Mar-2015
  • (2014)A resource-level parallel approach for global-routing-based routing congestion estimation and a method to quantify estimation accuracyProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691445(389-396)Online publication date: 3-Nov-2014
  • (2014)Triple patterning aware detailed placement with constrained pattern assignmentProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691392(116-123)Online publication date: 3-Nov-2014
  • (2014)Metal layer planning for silicon interposers with consideration of routability and manufacturing costProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2617112(1-6)Online publication date: 24-Mar-2014
  • (2014)POLAR 2.0Proceedings of the 51st Annual Design Automation Conference10.1145/2593069.2593181(1-6)Online publication date: 1-Jun-2014
  • (2014)Density-aware Detailed Placement with Instant LegalizationProceedings of the 51st Annual Design Automation Conference10.1145/2593069.2593120(1-6)Online publication date: 1-Jun-2014
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media