Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/2755753.2757164acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
research-article

An energy-efficient virtual channel power-gating mechanism for on-chip networks

Published: 09 March 2015 Publication History

Abstract

Power-gating is a promising method for reducing the leakage power of digital systems. In this paper, we propose a novel power-gating scheme for virtual channels in on-chip networks that uses an adaptive method to dynamically adjust the number of active VCs based on the on-chip traffic characteristics. Since virtual channels are used to provide higher throughput under high traffic loads, our method sets the number of virtual channel at each port selectively based on the workload demand, thereby do not negatively affect performance. Evaluation results show that by using this scheme, about 40% average reduction in static power consumption can be achieved with negligible performance overhead.

References

[1]
e. a. Ansari, Amin, "Tangle: Route -oriented dynamic voltage minimization for variation-afflicted, energy-efficient on-chip networks," HPCA2014, 2014.
[2]
L. Benini and G. De Micheli, "Networks on chips: a new soc paradigm," Computer, vol. 35, no. 1, pp. 70--78, Jan 2002.
[3]
C.-H. O. Chen, S. Park, T. Krishna, and L.-S. Peh, "A low-swing crossbar and link generator for low-power networks-on-chip," in Proceedings of the International Conference on Computer-Aided Design, ser. ICCAD '11, 2011, pp. 779--786.
[4]
L. Chen and T. M. Pinkston, "Nord: Node-router decoupling for effective power-gating of on-chip routers," in Proceedings of the 2012 45th Annual IEEE/ACM International Symposium on Microarchitecture, ser. MICRO-45, 2012, pp. 270--281.
[5]
L. Chen, L. Zhao, R. Wang, and T. M. Pinkston, "Mp3: Minimizing performance penalty for power-gating of clos network-on-chip," 2014.
[6]
W. Dally and B. Towles, Principles and Practices of Interconnection Networks. Morgan Kaufmann Publishers Inc., 2003.
[7]
W. Dally, "Performance analysis of k-ary n-cube interconnection networks," Computers, IEEE Transactions on, vol. 39, no. 6, pp. 775--785, Jun 1990.
[8]
M. Evripidou, C. Nicopoulos, V. Soteriou, and J. Kim, "Virtualizing virtual channels for increased network-on-chip robustness and up-gradeability," in VLSI (ISVLSI), 2012 IEEE Computer Society Annual Symposium on. IEEE, 2012, pp. 21--26.
[9]
P. Guerrier and A. Greiner, "A generic architecture for on-chip packet-switched interconnections," in Proceedings of the Conference on Design, Automation and Test in Europe, ser. DATE '00, 2000, pp. 250--256.
[10]
J. Hestness, B. Grot, and S. W. Keckler, "Netrace: dependency-driven trace-based network-on-chip simulation," in Proceedings of the Third International Workshop on Network on Chip Architectures. ACM, 2010, pp. 31--36.
[11]
Z. Hu, A. Buyuktosunoglu, V. Srinivasan, V. Zyuban, H. Jacobson, and P. Bose, "Microarchitectural techniques for power gating of execution units," in Proceedings of the 2004 International Symposium on Low Power Electronics and Design, ser. ISLPED '04, 2004, pp. 32--37.
[12]
N. Jiang, D. Becker, G. Michelogiannakis, J. Balfour, B. Towles, D. Shaw, J. Kim, and W. Dally, "A detailed and flexible cycle-accurate network-on-chip simulator," in ISPASS2013, April 2013, pp. 86--96.
[13]
G. Kim, J. Kim, and S. Yoo, "Flexibuffer: Reducing leakage power in on-chip network routers," in Design Automation Conference (DAC), 2011 48th ACM/EDAC/IEEE, June 2011, pp. 936--941.
[14]
H. Matsutani, Y. Hirata, M. Koibuchi, K. Usami, H. Nakamura, and H. Amano, "A multi-vdd dynamic variable-pipeline on-chip router for cmps," in Design Automation Conference (ASP-DAC), 2012 17th Asia and South Pacific, Jan 2012, pp. 407--412.
[15]
H. Matsutani, M. Koibuchi, D. Ikebuchi, K. Usami, H. Nakamura, and H. Amano, "Ultra fine-grained run-time power gating of on-chip routers for cmps," in Networks-on-Chip (NOCS), 2010 Fourth ACM/IEEE International Symposium on, May 2010, pp. 61--68.
[16]
H. Matsutani, M. Koibuchi, D. Wang, and H. Amano, "Adding slow-silent virtual channels for low-power on-chip networks," in Proceedings of the Second ACM/IEEE International Symposium on Networks-on-Chip, ser. NOCS '08. IEEE Computer Society, 2008, pp. 23--32.
[17]
A. Mishra, R. Das, S. Eachempati, R. Iyer, N. Vijaykrishnan, and C. Das, "A case for dynamic frequency tuning in on-chip networks," in Microarchitecture, 2009. MICRO-42. 42nd Annual IEEE/ACM International Symposium on, Dec 2009, pp. 292--303.
[18]
J. D. Owens, W. J. Dally, R. Ho, D. Jayasimha, S. W. Keckler, and L.-S. Peh, "Research challenges for on-chip interconnection networks," IEEE micro, vol. 27, no. 5, p. 96, 2007.
[19]
A. Samih, R. Wang, A. Krishna, C. Maciocco, C. Tai, and Y. Solihin, "Energy-efficient interconnect via router parking," in High Performance Computer Architecture (HPCA2013), 2013 IEEE 19th International Symposium on, Feb 2013, pp. 508--519.
[20]
J. Yin, P. Zhou, S. S. Sapatnekar, and A. Zhai, "Energy-efficient time-division multiplexed hybrid-switched noc for heterogeneous multicore systems," 2014.

Cited By

View all
  • (2019)BARANACM Transactions on Parallel Computing10.1145/32940495:3(1-29)Online publication date: 22-Jan-2019
  • (2019)ITAPACM Transactions on Architecture and Code Optimization10.1145/329160616:1(1-26)Online publication date: 27-Feb-2019
  • (2018)Enhancing computation-to-core assignment with physical location informationACM SIGPLAN Notices10.1145/3296979.319238653:4(312-327)Online publication date: 11-Jun-2018
  • Show More Cited By

Index Terms

  1. An energy-efficient virtual channel power-gating mechanism for on-chip networks

          Recommendations

          Comments

          Please enable JavaScript to view thecomments powered by Disqus.

          Information & Contributors

          Information

          Published In

          cover image ACM Conferences
          DATE '15: Proceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition
          March 2015
          1827 pages
          ISBN:9783981537048

          Sponsors

          Publisher

          EDA Consortium

          San Jose, CA, United States

          Publication History

          Published: 09 March 2015

          Check for updates

          Qualifiers

          • Research-article

          Conference

          DATE '15
          Sponsor:
          • EDAA
          • EDAC
          • SIGDA
          • Russian Acadamy of Sciences
          DATE '15: Design, Automation and Test in Europe
          March 9 - 13, 2015
          Grenoble, France

          Acceptance Rates

          DATE '15 Paper Acceptance Rate 206 of 915 submissions, 23%;
          Overall Acceptance Rate 518 of 1,794 submissions, 29%

          Upcoming Conference

          DATE '25
          Design, Automation and Test in Europe
          March 31 - April 2, 2025
          Lyon , France

          Contributors

          Other Metrics

          Bibliometrics & Citations

          Bibliometrics

          Article Metrics

          • Downloads (Last 12 months)3
          • Downloads (Last 6 weeks)0
          Reflects downloads up to 24 Nov 2024

          Other Metrics

          Citations

          Cited By

          View all
          • (2019)BARANACM Transactions on Parallel Computing10.1145/32940495:3(1-29)Online publication date: 22-Jan-2019
          • (2019)ITAPACM Transactions on Architecture and Code Optimization10.1145/329160616:1(1-26)Online publication date: 27-Feb-2019
          • (2018)Enhancing computation-to-core assignment with physical location informationACM SIGPLAN Notices10.1145/3296979.319238653:4(312-327)Online publication date: 11-Jun-2018
          • (2018)SPONGEProceedings of the International Symposium on Low Power Electronics and Design10.1145/3218603.3218635(1-6)Online publication date: 23-Jul-2018
          • (2018)Enhancing computation-to-core assignment with physical location informationProceedings of the 39th ACM SIGPLAN Conference on Programming Language Design and Implementation10.1145/3192366.3192386(312-327)Online publication date: 11-Jun-2018
          • (2017)BiNoCHSProceedings of the Eleventh IEEE/ACM International Symposium on Networks-on-Chip10.1145/3130218.3130222(1-8)Online publication date: 19-Oct-2017
          • (2016)Adaptive multi-voltage scaling in wireless NoC for high performance low power applicationsProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2972115(1315-1320)Online publication date: 14-Mar-2016
          • (2016)Reducing Power Consumption of GPGPUs Through Instruction ReorderingProceedings of the 2016 International Symposium on Low Power Electronics and Design10.1145/2934583.2934606(356-361)Online publication date: 8-Aug-2016

          View Options

          Login options

          View options

          PDF

          View or Download as a PDF file.

          PDF

          eReader

          View online with eReader.

          eReader

          Media

          Figures

          Other

          Tables

          Share

          Share

          Share this Publication link

          Share on social media