Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/2561828.2561866acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Block copolymer directed self-assembly (DSA) aware contact layer optimization for 10 nm 1D standard cell library

Published: 18 November 2013 Publication History

Abstract

At the 10 nm technology node, the contact layers of integrated circuits (IC) designs are too dense to be printed by single exposure using 193 nm immersion (193i) lithography. Among all the emerging patterning approaches, block copolymer directed self-assembly (DSA) is a promising candidate with high throughput and low cost for sub-20 nm features. Traditionally, the study of DSA has focused on achieving periodic regular patterns over large area. Realizing that long range order is not needed for patterning irregularly distributed contact holes, we use topographical guiding templates to alter the natural symmetry of block copolymer and achieve controlled irregular DSA patterns. However, DSA patterning must satisfy the overlay accuracy requirements while the guiding templates also need to be printable by conventional lithography. This presents a unique opportunity of DSA patterning and layout design co-optimization for improving the manufacturability of DSA. This paper discusses the DSA-aware contact layer optimization problem for 10 nm 1D standard cell library. For the first time we propose a cost function for each DSA template based on its overlay accuracy performance. Then given a standard cell library, we simultaneously optimize the layouts of every cell, such that the contact layer of any cell in the library can be fully patterned by a set of guiding templates, and the total cost of the templates is minimal. This optimization problem is first proved to be NP-hard and formulated as a Weighted Partial Maximum Satisfiability (MAX-SAT) problem, which can be optimally solved with a public SAT solver. Then we propose a bounded approximation algorithm that solves the problem much more efficiently. The experimental results demonstrate that our approach is remarkably promising in practice and validate the proposed optimization problem.

References

[1]
Y. Du, H. Zhang, Q. Ma and M. D. F. Wong, Linear time algorithm to find all relocation positions for EUV defect mitigation, ASP-DAC, pp. 261--266, 2013.
[2]
Y. Du, H. Zhang and M. D. F. Wong, Linear time EUV blank defect mitigation algorithm considering tolerance to inspection inaccuracy, Proc. SPIE, Vol. 8522, p. 85221R, 2012.
[3]
H. Zhang, Y. Du, M. D. F. Wong, Y. Deng and P. Mangat, Layout small-angle rotation and shift for EUV defect mitigation, ICCAD, pp. 43--49, 2012
[4]
Y. Du, H. Zhang, M. D. F. Wong, Y. Deng and R. O. Topaloglu, Efficient multi-die placement for blank defect mitigation in EUV lithography, Proc. SPIE, Vol. 8322, p. 832231, 2012.
[5]
H. Zhang, Y. Du, M. D. F. Wong and R. O. Topaloglu, Efficient pattern relocation for EUV blank defect mitigation, ASP-DAC, pp. 719--724, 2012.
[6]
Y. Du, H. Zhang, M. D. F. Wong, Y. Deng and R. O. Topaloglu, EUV mask preparation considering blank defects mitigation, Proc. SPIE, Vol. 8166, p. 816611, 2011.
[7]
Y. Du, H. Zhang, M. D. F. Wong and K.-Y. Chao, Hybrid lithography optimization with E-beam and immersion processes for 16nm 1D gridded design, ASP-DAC, pp. 707--712, 2011.
[8]
H. Tian, H. Zhang, Q. Ma and M. D. F. Wong, Evaluation of cost-driven triple patterning lithography decomposition, Proc. SPIE, Vol. 8684, p. 868407, 2013.
[9]
H. Tian, H. Zhang, Q. Ma, Z. Xiao and M. D. F. Wong, A polynomial time triple patterning algorithm for cell based row-structure layout, ICCAD, pp. 57--64, 2012.
[10]
H. Tian, Y. Du, H. Zhang, Z. Xiao and M. D. F. Wong, Constrained pattern assignment for standard cell based triple patterning lithography, ICCAD, 2013.
[11]
R. Ruiz, G. Breyta, J. Y. Cheng, M. E. Colbum, K. W. Guarini, H.-C. Kim and Y. Zhang, Polymer self assembly in semiconductor microelectronics, IBM Journal of Research and Development, Vol. 51, Issue 3, pp. 605--633, 2007.
[12]
M. P. Stoykovich, H. Kang, K. C. Daoulas, G. Liu, C.-C. Liu, J. J. de Pablo, et al., Directed self-assembly of block copolymers for nanolithography: Fabrication of isolated features and essential integrated circuit geometries, ACS Nano, Vol. 1, Issue 3, pp. 168--175, 2007.
[13]
H. Yi, X.-Y. Bao, J. Zhang, R. Tiberio, J. Conway, L.-W. Chang, et al., Contact hole patterning for random logic circuits using block copolymer directed self-assembly, Proc. SPIE, Vol. 8323, p. 83230W-1, 2012.
[14]
H.-S. P. Wong, C. Bencher, H. Yi, X.-Y. Bao, L.-W. Chang, Block copolymer directed self-assembly enables sublithographic patterning for device fabrication, Proc. SPIE, Vol. 8323, p. 832303--1, 2012.
[15]
K. Lai, C.-C. Liu, J. Pitera, D. J. Dechene, A. Schepis, J. Abdallah, et al., Computational aspects of optical lithography extension by directed self-assembly, Proc. SPIE, Vol. 8683, 2013.
[16]
H. Yi, X.-Y. Bao, J. Zhang, R. Tiberio, J. Conway, L.-W. Chang, et al., Flexible control of block copolymer directed self-assembly using small topographical templates: Potential lithography solution for integrated circuits contact hole patterning, Adv. Mater., Vol. 24, pp. 3107--3114, 2012.
[17]
C. Bencher, H. Dai and Y. Chen, Gridded design rule scaling: Taking the CPU toward the 16nm node, Proc. SPIE, Vol. 7274, p. 72740G--1, 2009.
[18]
H. Zhang, Y. Du, M. D. F. Wong and K.-Y. Chao, Lithography-aware layout modification considering performance impact, ISQED, pp. 1--5, 2011.
[19]
H. Zhang, Y. Du, M. D. F. Wong and K.-Y. Chao, Mask cost reduction with performance consideration for double patterning lithography, ASP-DAC, pp. 787--792, 2011.
[20]
Y. Smayling, R. J. Socha and M. V. Dusa, 22nm Logic lithography in the presence of local interconnect. Proc. SPIE, Vol. 7640, p. 7974019--1, 2010.
[21]
SAT4J http://www.sat4j.org/
[22]
H. Yi, X.-Y. Bao, R. Tiberio, H.-S. P. Wong, Design strategy of small topographical guiding templates for sub-15 nm integrated circuits contact hole patterns using block copolymer directed self-assembly, Proc. SPIE, Vol. 8680, 2013.
[23]
H. Yi, H.-S. P. Wong, Block copolymer directed self-assembly two-hole pattern inside peanut-shaped templates, EIPBN, p. 10B--05, 2013.
[24]
M. R. Garey and D. S. Johnson, Computers and intractability; A guide to the theory of NP-completeness, W. H. Freeman & Co., New York, NY, USA, 1990.
[25]
U. Feige, A threshold of ln n for approximating set cover, JACM, Vol. 45, No. 4, pp. 634--652, 1998.

Cited By

View all
  • (2019)Novel Guiding Template and Mask Assignment for DSA-MP Hybrid Lithography Using Multiple BCP MaterialsProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3317871(1-6)Online publication date: 2-Jun-2019
  • (2018)On coloring rectangular and diagonal grid graphs for multiple patterning lithographyProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201704(387-392)Online publication date: 22-Jan-2018
  • (2017)Simultaneous template assignment and layout decomposition using multiple BCP materials in DSA-MP lithographyProceedings of the 36th International Conference on Computer-Aided Design10.5555/3199700.3199732(239-244)Online publication date: 13-Nov-2017
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '13: Proceedings of the International Conference on Computer-Aided Design
November 2013
871 pages
ISBN:9781479910694
  • General Chair:
  • Jörg Henkel

Sponsors

Publisher

IEEE Press

Publication History

Published: 18 November 2013

Check for updates

Author Tags

  1. 1D gridded design
  2. DSA
  3. contact hole patterning

Qualifiers

  • Research-article

Conference

ICCAD'13
Sponsor:
ICCAD'13: The International Conference on Computer-Aided Design
November 18 - 21, 2013
California, San Jose

Acceptance Rates

ICCAD '13 Paper Acceptance Rate 92 of 354 submissions, 26%;
Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 22 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2019)Novel Guiding Template and Mask Assignment for DSA-MP Hybrid Lithography Using Multiple BCP MaterialsProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3317871(1-6)Online publication date: 2-Jun-2019
  • (2018)On coloring rectangular and diagonal grid graphs for multiple patterning lithographyProceedings of the 23rd Asia and South Pacific Design Automation Conference10.5555/3201607.3201704(387-392)Online publication date: 22-Jan-2018
  • (2017)Simultaneous template assignment and layout decomposition using multiple BCP materials in DSA-MP lithographyProceedings of the 36th International Conference on Computer-Aided Design10.5555/3199700.3199732(239-244)Online publication date: 13-Nov-2017
  • (2017)Detailed Placement for Two-Dimensional Directed Self-Assembly TechnologyProceedings of the 54th Annual Design Automation Conference 201710.1145/3061639.3062229(1-6)Online publication date: 18-Jun-2017
  • (2015)Simultaneous Guiding Template Optimization and Redundant Via Insertion for Directed Self-AssemblyProceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840877(410-417)Online publication date: 2-Nov-2015
  • (2015)Defect Probability of Directed Self-Assembly LithographyProceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840876(404-409)Online publication date: 2-Nov-2015
  • (2015)Layout optimization and template pattern verification for directed self-assembly (DSA)Proceedings of the 52nd Annual Design Automation Conference10.1145/2744769.2747934(1-6)Online publication date: 7-Jun-2015
  • (2015)Mask assignment and synthesis of DSA-MP hybrid lithography for sub-7nm contacts/viasProceedings of the 52nd Annual Design Automation Conference10.1145/2744769.2744868(1-6)Online publication date: 7-Jun-2015
  • (2015)Directed Self-Assembly Based Cut Mask Optimization for Unidirectional DesignProceedings of the 25th edition on Great Lakes Symposium on VLSI10.1145/2742060.2742114(83-86)Online publication date: 20-May-2015
  • (2015)Physical Layout Design of Directed Self-Assembly Guiding Alphabet for IC Contact Hole/via PatterningProceedings of the 2015 Symposium on International Symposium on Physical Design10.1145/2717764.2723574(65-66)Online publication date: 29-Mar-2015
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media