Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/2561828.2561865acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Constrained pattern assignment for standard cell based triple patterning lithography

Published: 18 November 2013 Publication History

Abstract

Triple patterning lithography (TPL) has been recognized as one of the most promising candidates for 14/10nm technology node. Apart from obtaining legal TPL decompositions, various concerns have been raised by the designers, among them consistently assigning the same pattern for the same type of standard cells and balancing the usage of the three masks are two most critical ones. In this paper, a hybrid approach (SAT followed by a sliding-window approach) is proposed targeting at these two problems. To assign the same pattern for the same type of standard cell, we pre-color the boundary polygons of each type of cell by solving a small SAT problem. Following that we propose a sliding-window based approach to compute a locally balanced decomposition. Our algorithm guarantees to find a feasible solution if one exists. Experimental results verify that the problem can be solved very efficiently with the proposed algorithm. Superior locally balanced decompositions are achieved compared with the previous approach in [19].

References

[1]
Si2 Open Cell Library, available on line. http://www.si2.org/openeda.si2.org/projects/nangatelib.
[2]
International Technology Roadmap for Semiconductors: Lithography. 2011.
[3]
Y. Chen, P. Xu, L. Miao, Y. Chen, X. Xu, D. Mao, P. Blanco, C. Bencher, R. Hung, and C. Ngai. Self-aligned triple patterning for continuous IC scaling to half-pitch 15nm. In Proceedings of SPIE, volume 7973, page 79731P, 2011.
[4]
C. Cork, J. Madre, and L. Barnes. Comparison of triple-patterning decomposition algorithms using aperiodic tiling patterns. In Proceedings of SPIE, volume 7028, page 702839, 2008.
[5]
Y. Du, Q. Ma, H. Song, J. Shiely, G. Luk-Pat, A. Miloslavsky, and M. D. F. Wong. Spacer-is-dielectric-compliant detailed routing for self-aligned double patterning lithography. In Proceedings of the 50th Annual Design Automation Conference, pages 93:1--93:6, 2013.
[6]
Y. Du, H. Zhang, M. Wong, and R. Topaloglu. EUV mask preparation considering blank defects mitigation. In Proceedings of SPIE, 2011.
[7]
Y. Du, H. Zhang, and M. D. Wong. Linear time euv blank defect mitigation algorithm considering tolerance to inspection inaccuracy. In Proc. of SPIE, volume 8522, pages 85221R--1.
[8]
Y. Du, H. Zhang, M. D. Wong, and K.-Y. Chao. Hybrid lithography optimization with e-beam and immersion processes for 16nm 1d gridded design. In 17th Asia and South Pacific Design Automation Conference (ASP-DAC), pages 707--712. IEEE, 2012.
[9]
Y. Du, H. Zhang, M. D. F. Wong, and R. O. Topaloglu. EUV mask preparation considering blank defects mitigation. volume 8166, page 816611. SPIE, 2011.
[10]
N. Een and N. Sorensson. The minisat page. http://minisat.se/Main.html.
[11]
S. Fang, Y. Chang, and W. Chen. A novel layout decomposition algorithm for triple patterning lithography. In Proceedings of the 49th Annual Design Automation Conference, pages 1185--1190. ACM, 2012.
[12]
A. Kahng, C.-H. Park, X. Xu, and H. Yao. Layout decomposition for double patterning lithography. In IEEE/ACM International Conference on Computer-Aided Design, pages 465--472, NOV. 2008.
[13]
J. Kuang and E. F. Y. Young. An efficient layout decomposition approach for triple patterning lithography. In Proceedings of the 50th Annual Design Automation Conference, pages 69:1--69:6, 2013.
[14]
D. Lam, D. Liu, and T. Prescop. E-beam direct write (ebdw) as complementary lithography. In SPIE Photomask Technology, pages 78231C--78231C. International Society for Optics and Photonics, 2010.
[15]
Q. Li, P. Ghosh, D. Abercrombie, P. LaCour, and S. Kanodia. 14nm m1 triple patterning. In Proceedings of the SPIE, 2012.
[16]
Q. Ma, H. Zhang, and M. D. F. Wong. Triple patterning aware routing and its comparison with double patterning aware routing in 14nm technology. In Proceedings of the 49th Annual Design Automation Conference, DAC '12, pages 591--596, New York, NY, USA, 2012. ACM.
[17]
B. Mebarki, H. Chen, Y. Chen, A. Wang, J. Liang, K. Sapre, T. Mandrekar, X. Chen, P. Xu, P. Blanko, et al. Innovative self-aligned triple patterning for 1x half pitch using single" spacer deposition-spacer etch" step. In Proceedings of SPIE, volume 7973, page 79730G, 2011.
[18]
H. Tian, H. Zhang, Q. Ma, and M. D. Wong. Evaluation of cost-driven triple patterning lithography decomposition. In SPIE Advanced Lithography. International Society for Optics and Photonics, 2013.
[19]
H. Tian, H. Zhang, Q. Ma, Z. Xiao, and M. Wong. A polynomial time triple patterning algorithm for cell based row-structure layout. In IEEE/ACM International Conference on Computer-Aided Design, pages 57--64, Nov. 2012.
[20]
Z. Xiao, Y. Du, H. Zhang, and M. Wong. A polynomial time exact algorithm for overlay-resistant self-aligned double patterning (sadp) layout decomposition. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 32(8):1228--1239, 2013.
[21]
Z. Xiao, Y. Du, H. Zhang, and M. D. Wong. A polynomial time exact algorithm for self-aligned double patterning layout decomposition. In Proceedings of the 2012 ACM international symposium on International Symposium on Physical Design, pages 17--24, 2012.
[22]
B. Yu, K. Yuan, B. Zhang, D. Ding, and D. Pan. Layout decomposition for triple patterning lithography. In IEEE/ACM International Conference on Computer-Aided Design. IEEE, 2011.
[23]
K. Yuan and D. Pan. WISDOM: Wire spreading enhanced decomposition of masks in Double Patterning Lithography. In IEEE/ACM International Conference on Computer-Aided Design, pages 32--38. IEEE, 2010.
[24]
K. Yuan, J. Yang, and D. Pan. Double patterning layout decomposition for simultaneous conflict and stitch minimization. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 29(2):185--196, 2010.
[25]
K. Yuan, B. Yu, and D. Z. Pan. E-beam lithography stencil planning and optimization with overlapped characters. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 31(2):167--179, 2012.
[26]
H. Zhang, Y. Du, M. D. Wong, Y. Deng, and P. Mangat. Layout small-angle rotation and shift for euv defect mitigation. In IEEE/ACM International Conference on Computer-Aided Design, pages 43--49. IEEE, 2012.
[27]
H. Zhang, Y. Du, M. D. Wong, and R. O. Topalaglu. Efficient pattern relocation for euv blank defect mitigation. In 17th Asia and South Pacific Design Automation Conference, pages 719--724. IEEE, 2012.
[28]
H. Zhang, Y. Du, M. D. F. Wong, and K.-Y. Chao. Mask cost reduction with circuit performance consideration for self-aligned double patterning. In 2011 16th Asia and South Pacific Design Automation Conference, pages 787--792, 2011.

Cited By

View all
  • (2015)Layout Decomposition with Pairwise Coloring and Adaptive Multi-Start for Triple Patterning LithographyACM Transactions on Design Automation of Electronic Systems10.1145/276490421:1(1-25)Online publication date: 2-Dec-2015
  • (2015)Pushing multiple patterning in sub-10nmProceedings of the 52nd Annual Design Automation Conference10.1145/2744769.2747940(1-6)Online publication date: 7-Jun-2015
  • (2015)TPL-Aware Displacement-driven Detailed Placement Refinement with Coloring ConstraintsProceedings of the 2015 Symposium on International Symposium on Physical Design10.1145/2717764.2717770(75-80)Online publication date: 29-Mar-2015
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '13: Proceedings of the International Conference on Computer-Aided Design
November 2013
871 pages
ISBN:9781479910694
  • General Chair:
  • Jörg Henkel

Sponsors

Publisher

IEEE Press

Publication History

Published: 18 November 2013

Check for updates

Qualifiers

  • Research-article

Conference

ICCAD'13
Sponsor:
ICCAD'13: The International Conference on Computer-Aided Design
November 18 - 21, 2013
California, San Jose

Acceptance Rates

ICCAD '13 Paper Acceptance Rate 92 of 354 submissions, 26%;
Overall Acceptance Rate 457 of 1,762 submissions, 26%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)1
  • Downloads (Last 6 weeks)0
Reflects downloads up to 12 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2015)Layout Decomposition with Pairwise Coloring and Adaptive Multi-Start for Triple Patterning LithographyACM Transactions on Design Automation of Electronic Systems10.1145/276490421:1(1-25)Online publication date: 2-Dec-2015
  • (2015)Pushing multiple patterning in sub-10nmProceedings of the 52nd Annual Design Automation Conference10.1145/2744769.2747940(1-6)Online publication date: 7-Jun-2015
  • (2015)TPL-Aware Displacement-driven Detailed Placement Refinement with Coloring ConstraintsProceedings of the 2015 Symposium on International Symposium on Physical Design10.1145/2717764.2717770(75-80)Online publication date: 29-Mar-2015
  • (2014)Triple patterning aware detailed placement with constrained pattern assignmentProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691392(116-123)Online publication date: 3-Nov-2014
  • (2014)Triple patterning lithography aware optimization for standard cell based designProceedings of the 2014 IEEE/ACM International Conference on Computer-Aided Design10.5555/2691365.2691391(108-115)Online publication date: 3-Nov-2014
  • (2014)Layout Decomposition for Quadruple Patterning Lithography and BeyondProceedings of the 51st Annual Design Automation Conference10.1145/2593069.2593152(1-6)Online publication date: 1-Jun-2014
  • (2013)Block copolymer directed self-assembly (DSA) aware contact layer optimization for 10 nm 1D standard cell libraryProceedings of the International Conference on Computer-Aided Design10.5555/2561828.2561866(186-193)Online publication date: 18-Nov-2013

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media