KR100345420B1 - 플라즈마처리장치 - Google Patents
플라즈마처리장치 Download PDFInfo
- Publication number
- KR100345420B1 KR100345420B1 KR1019960020284A KR19960020284A KR100345420B1 KR 100345420 B1 KR100345420 B1 KR 100345420B1 KR 1019960020284 A KR1019960020284 A KR 1019960020284A KR 19960020284 A KR19960020284 A KR 19960020284A KR 100345420 B1 KR100345420 B1 KR 100345420B1
- Authority
- KR
- South Korea
- Prior art keywords
- insulating member
- plasma
- electrode
- lower electrode
- upper insulating
- Prior art date
Links
- 238000009832 plasma treatment Methods 0.000 title claims description 5
- 238000012545 processing Methods 0.000 claims abstract description 146
- 238000000034 method Methods 0.000 claims description 65
- 239000000758 substrate Substances 0.000 claims description 43
- 230000002093 peripheral effect Effects 0.000 claims description 32
- 239000004065 semiconductor Substances 0.000 claims description 16
- 239000000463 material Substances 0.000 claims description 15
- 230000001965 increasing effect Effects 0.000 claims description 11
- 238000012544 monitoring process Methods 0.000 claims description 11
- 229910052782 aluminium Inorganic materials 0.000 claims description 10
- XAGFODPZIPBFFR-UHFFFAOYSA-N aluminium Chemical compound [Al] XAGFODPZIPBFFR-UHFFFAOYSA-N 0.000 claims description 10
- 239000011810 insulating material Substances 0.000 claims description 6
- 229910052582 BN Inorganic materials 0.000 claims description 3
- PZNSFCLAULLKQX-UHFFFAOYSA-N Boron nitride Chemical compound N#B PZNSFCLAULLKQX-UHFFFAOYSA-N 0.000 claims description 3
- 229910021420 polycrystalline silicon Inorganic materials 0.000 claims description 2
- 238000009792 diffusion process Methods 0.000 abstract description 41
- 238000005530 etching Methods 0.000 description 116
- 235000012431 wafers Nutrition 0.000 description 88
- 239000007789 gas Substances 0.000 description 81
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N silicon dioxide Inorganic materials O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 description 66
- 238000007789 sealing Methods 0.000 description 63
- 235000012239 silicon dioxide Nutrition 0.000 description 52
- 239000010453 quartz Substances 0.000 description 50
- 230000008569 process Effects 0.000 description 43
- 239000010408 film Substances 0.000 description 34
- PNEYBMLMFCGWSK-UHFFFAOYSA-N aluminium oxide Inorganic materials [O-2].[O-2].[O-2].[Al+3].[Al+3] PNEYBMLMFCGWSK-UHFFFAOYSA-N 0.000 description 32
- 239000000919 ceramic Substances 0.000 description 30
- 239000007795 chemical reaction product Substances 0.000 description 25
- 238000010586 diagram Methods 0.000 description 16
- 150000002500 ions Chemical class 0.000 description 15
- 238000009413 insulation Methods 0.000 description 14
- 229910052814 silicon oxide Inorganic materials 0.000 description 12
- 238000012546 transfer Methods 0.000 description 12
- 229910004298 SiO 2 Inorganic materials 0.000 description 11
- 238000004544 sputter deposition Methods 0.000 description 11
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 10
- 229910052710 silicon Inorganic materials 0.000 description 10
- 239000010703 silicon Substances 0.000 description 10
- 238000001816 cooling Methods 0.000 description 9
- 238000004880 explosion Methods 0.000 description 8
- 230000000694 effects Effects 0.000 description 7
- 239000011737 fluorine Substances 0.000 description 7
- 229910052731 fluorine Inorganic materials 0.000 description 7
- 239000012298 atmosphere Substances 0.000 description 6
- 238000011109 contamination Methods 0.000 description 6
- 238000005260 corrosion Methods 0.000 description 6
- 230000007797 corrosion Effects 0.000 description 6
- 230000005540 biological transmission Effects 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 238000005507 spraying Methods 0.000 description 5
- 230000003628 erosive effect Effects 0.000 description 4
- 239000010419 fine particle Substances 0.000 description 4
- 239000012212 insulator Substances 0.000 description 4
- 230000007246 mechanism Effects 0.000 description 4
- 239000000843 powder Substances 0.000 description 4
- 239000000047 product Substances 0.000 description 4
- 239000002994 raw material Substances 0.000 description 4
- 238000007666 vacuum forming Methods 0.000 description 4
- MYMOFIZGZYHOMD-UHFFFAOYSA-N Dioxygen Chemical compound O=O MYMOFIZGZYHOMD-UHFFFAOYSA-N 0.000 description 3
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical group [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 description 3
- HSFWRNGVRCDJHI-UHFFFAOYSA-N alpha-acetylene Natural products C#C HSFWRNGVRCDJHI-UHFFFAOYSA-N 0.000 description 3
- 238000004140 cleaning Methods 0.000 description 3
- 238000001514 detection method Methods 0.000 description 3
- 229910001882 dioxygen Inorganic materials 0.000 description 3
- 238000007599 discharging Methods 0.000 description 3
- 230000003028 elevating effect Effects 0.000 description 3
- 125000002534 ethynyl group Chemical group [H]C#C* 0.000 description 3
- 239000003595 mist Substances 0.000 description 3
- HBMJWWWQQXIZIP-UHFFFAOYSA-N silicon carbide Chemical compound [Si+]#[C-] HBMJWWWQQXIZIP-UHFFFAOYSA-N 0.000 description 3
- BOTDANWDWHJENH-UHFFFAOYSA-N Tetraethyl orthosilicate Chemical compound CCO[Si](OCC)(OCC)OCC BOTDANWDWHJENH-UHFFFAOYSA-N 0.000 description 2
- 230000015572 biosynthetic process Effects 0.000 description 2
- 239000005380 borophosphosilicate glass Substances 0.000 description 2
- 239000003990 capacitor Substances 0.000 description 2
- 239000003575 carbonaceous material Substances 0.000 description 2
- 229910052681 coesite Inorganic materials 0.000 description 2
- 239000000567 combustion gas Substances 0.000 description 2
- 239000004020 conductor Substances 0.000 description 2
- 239000002826 coolant Substances 0.000 description 2
- 229910052906 cristobalite Inorganic materials 0.000 description 2
- 230000006872 improvement Effects 0.000 description 2
- 238000009616 inductively coupled plasma Methods 0.000 description 2
- 230000010354 integration Effects 0.000 description 2
- 229910021421 monocrystalline silicon Inorganic materials 0.000 description 2
- 238000005268 plasma chemical vapour deposition Methods 0.000 description 2
- 238000005498 polishing Methods 0.000 description 2
- 229920001721 polyimide Polymers 0.000 description 2
- 239000009719 polyimide resin Substances 0.000 description 2
- 238000003672 processing method Methods 0.000 description 2
- 239000003507 refrigerant Substances 0.000 description 2
- 239000011347 resin Substances 0.000 description 2
- 229920005989 resin Polymers 0.000 description 2
- 239000000377 silicon dioxide Substances 0.000 description 2
- 229910052682 stishovite Inorganic materials 0.000 description 2
- 239000010409 thin film Substances 0.000 description 2
- 229910052905 tridymite Inorganic materials 0.000 description 2
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 description 1
- 229910000531 Co alloy Inorganic materials 0.000 description 1
- 229910052581 Si3N4 Inorganic materials 0.000 description 1
- 230000002159 abnormal effect Effects 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 229910052799 carbon Inorganic materials 0.000 description 1
- 239000003795 chemical substances by application Substances 0.000 description 1
- 238000002485 combustion reaction Methods 0.000 description 1
- 239000012141 concentrate Substances 0.000 description 1
- 230000008878 coupling Effects 0.000 description 1
- 238000010168 coupling process Methods 0.000 description 1
- 238000005859 coupling reaction Methods 0.000 description 1
- 230000006837 decompression Effects 0.000 description 1
- 230000007423 decrease Effects 0.000 description 1
- 230000003111 delayed effect Effects 0.000 description 1
- 230000005684 electric field Effects 0.000 description 1
- 238000005516 engineering process Methods 0.000 description 1
- 238000009499 grossing Methods 0.000 description 1
- 230000017525 heat dissipation Effects 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000001771 impaired effect Effects 0.000 description 1
- 230000006698 induction Effects 0.000 description 1
- 239000011261 inert gas Substances 0.000 description 1
- 230000003993 interaction Effects 0.000 description 1
- 239000007788 liquid Substances 0.000 description 1
- 238000012423 maintenance Methods 0.000 description 1
- 229910052751 metal Inorganic materials 0.000 description 1
- 239000002184 metal Substances 0.000 description 1
- 239000007769 metal material Substances 0.000 description 1
- 239000000203 mixture Substances 0.000 description 1
- 238000005192 partition Methods 0.000 description 1
- 238000002294 plasma sputter deposition Methods 0.000 description 1
- 230000002265 prevention Effects 0.000 description 1
- 230000005855 radiation Effects 0.000 description 1
- 229910052594 sapphire Inorganic materials 0.000 description 1
- 239000010980 sapphire Substances 0.000 description 1
- 230000035939 shock Effects 0.000 description 1
- 229910010271 silicon carbide Inorganic materials 0.000 description 1
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 1
- 239000002904 solvent Substances 0.000 description 1
- 239000007921 spray Substances 0.000 description 1
- 230000006641 stabilisation Effects 0.000 description 1
- 238000011105 stabilization Methods 0.000 description 1
- 238000003860 storage Methods 0.000 description 1
- 230000003746 surface roughness Effects 0.000 description 1
- 238000004381 surface treatment Methods 0.000 description 1
- 230000003245 working effect Effects 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32009—Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
- H01J37/32082—Radio frequency generated discharge
-
- C—CHEMISTRY; METALLURGY
- C23—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
- C23C—COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
- C23C16/00—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
- C23C16/44—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
- C23C16/50—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
- C23C16/505—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
- C23C16/509—Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
- C23C16/5096—Flat-bed apparatus
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J37/00—Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
- H01J37/32—Gas-filled discharge tubes
- H01J37/32431—Constructional details of the reactor
- H01J37/32623—Mechanical discharge control means
- H01J37/32633—Baffles
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01J—ELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
- H01J2237/00—Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
- H01J2237/02—Details
- H01J2237/022—Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube
-
- Y—GENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10—TECHNICAL SUBJECTS COVERED BY FORMER USPC
- Y10S—TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
- Y10S156/00—Adhesive bonding and miscellaneous chemical manufacture
- Y10S156/915—Differential etching apparatus including focus ring surrounding a wafer for plasma apparatus
Landscapes
- Chemical & Material Sciences (AREA)
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Plasma & Fusion (AREA)
- Analytical Chemistry (AREA)
- Metallurgy (AREA)
- Materials Engineering (AREA)
- Mechanical Engineering (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Organic Chemistry (AREA)
- General Chemical & Material Sciences (AREA)
- General Physics & Mathematics (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Plasma Technology (AREA)
- Drying Of Semiconductors (AREA)
Abstract
하부전극이 되는 서셉터(6)의 주위에 하측 절연부재(13)를 설치히여, 상부전극(21)의 주위에는 상측 절연부재(31)를 설치한다. 상측 절연부재(31)의 바깥쪽 단부(31a)는 하측 절연부재(13)의 바깥쪽으로써, 웨이퍼(W)의 상면보다도 아래쪽에 위치한다. 하측 절연부재(13)와 위쪽 절연부재(31)사이에 가장 좁은 간격(L)은, 전극간의 갭(G)보다도 좁게 한다. 전극간에 발생한 플라즈마의 확산이 억제되어, 그대로 옆쪽으로 확산하는 일은 없으므로, 처리용기(3) 내측벽이 스퍼터링되지 않는다.
Description
본 발명은 피처리 기판, 예를 들면 반도체 웨이퍼에 대하여 플라즈마 처리를 하기 위한 플라즈마 처리 장치에 관한 것이다.
종래로부터, 반도체 제조 과정에서는 예를 들면 반도체 웨이퍼(이하 웨이퍼라 한다) 등의 표면에 형성된 절연막에 콘텍트 홀을 형성하기 위한 장치로서, 에칭장치가 사용되고 있다. 이중에서도 특히 처리실내에 한쌍의 전극을 배치한 이른바평행 평판형의 에칭장치는 처리의 균일성이 우수하고 대구경 웨이퍼의 처리가 가능한 등의 장점을 가지며, 장치의 구성도 비교적 단순하기 때문에 많이 사용되고 있다.
종래의 일반적인 평행 평판형의 에칭장치는 예를 들면 일본 특개소 제 62-69620 호의 공보에 공지된 바와 같이, 처리실내의 한쌍의 평판형 전극이 평행하게 대향하도록 설치된 것이다. 이 장치에서는 피처리 기판인 웨이퍼를 하측의 전극(하부 전극)에 위치하고, 이 처리실내에 에칭가스를 도입하는 동시에 고주파 전력을 하부 전극에 공급하여 한쌍의 전극간에 플라즈마를 발생시키고, 에칭가스의 해리에 의해 생긴 에칭 이온에 의해 웨이퍼상의 절연막을 에칭하도록 되어 있다.
이런 종류의 절연막의 에칭처리에서는 반도체 디바이스의 고 집적화에 따라 점차 미세한 가공이나 처리속도 향상, 처리 균일성이 요구되고 있고, 이 때문에 전극간에 발생한 플라즈마의 밀도도 보다 고밀도가 요구되고 있다.
이 점에 관하여 일본 특개소 제 62-69620 호 공보에 개시된 플라즈마 처리장치에서는 발생한 플라즈마의 확산을 방지하여 전극간에 집중시키므로, 한쌍의 전극의 적어도 한쪽의 외주부에 절연부재를 배치하고, 이 절연부재와 다른쪽 전극 또는 다른 절연부재간의 간격을 대향하는 전극간의 간격의 70% 이하가 되도록 설정한 협소 간격 구성체를 구성하고, 플라즈마가 발생하는 영역의 확산을 저지하게 한다.
그러나, 일본 특개소 제 62-69620 호 공보에 개시된 기술은 256k 내지 1M 정도의 DRAM의 제조를 목적으로 하며, 오늘날과 같은 고 집적도의 디바이스 예를 들면 64M DRAM의 제조에는 이미 적용할 수 없다. 즉, 보다 고속으로 그리고 미세한에칭을 실시하기 위해서는 예를 들면 처리실내의 압력을 보다 감압(진공도를 높임)할 필요가 있는데, 종래의 기술은 0.5Torr 내지 3Torr 정도의 압력(진공도)을 상정하고 있으며, 그 보다 높은 진공도에서는 플라즈마 영역의 확산을 방지하기가 어렵고, 에칭율의 향상을 기대할 수 없다. 더우기 전극 사이에서 확산된 플라즈마가 그대로 처리실 내측벽을 스퍼터링하여 오염(contamination)을 발생시킬 우려가 있다.
또한, 상기 협소 간격 구성체에서는 협소 간격 영역에 가까운 절연부재의 개소에서는 전극 중심부보다도 플라즈마 밀도가 높아져 처리를 불균일하게 한다. 또한, 상기 협소 간격 구성체에서는 에칭가스 등의 배기가스가 처리 영역으로 퍼져 소기의 처리를 달성할 수 없게 할 우려가 있다.
또한 64M DRAM의 제조에 적합한 것으로서 ECR 에칭장치가 제안되어 있는데 이 기술은 처리 영역을 고려하면, 6인치 웨이퍼나 8인치 웨이퍼에 대한 에칭에 제한되고, 향후의 대구경 웨이퍼 예를 들면 12인치 웨이퍼에 대해서는 적합하지 않다.
한편, 예를 들면 상부 전극에 대해서는 이것을 처리실 내벽에 지지시키거나 지지시에 사용한 볼트 등을 덮기 위하여 그 주변부에 절연부재가 배치되는 경우가 있다. 또한 하부 전극에서도 이온의 입사 효율을 높이기 위하여 그 주변부에는 절연체로 이루어지는 포커스 링을 설치하는 경우가 있다.
이들 절연체의 표면에는 처리시에 발생하는 반응 생성물이 부착될 염려가 있다. 이를 그대로 방치하면, 처리실내를 오염시켜 생산성을 저하시키거나 클리닝 싸이클이 짧아져 장치의 가동 시간을 단축시켜 생산 효율이 저하된다.
이런 종류의 일반적인 반응 생성물은 온도가 높아지면, 부착하기 어렵게 되고 부착해도 제거되려는 경향이 있다. 따라서, 종래에는 통전에 의해 발열하는 히터를 반응 생성물이 부착되기 쉬운 곳의 표면이나 이면에 붙여 반응 생성물이 부착되기 쉬운 곳의 온도를 높여 반응 생성물의 부착을 방지한다.
그러나, 통전 방식에 의한 히터를 별도로 부착하면, 히터의 통전 경로에 고주파 노이즈가 유발되거나, 히터의 통전 경로로 흐르는 전류에 의해 자계가 발생하여, 처리실내의 플라즈마가 활성화되고 소정의 에칭처리에 지장을 초래할 염려가 있다. 또한, 히터를 별도로 부착하면, 그 통전 경로가 복잡하게 되고 가격도 상승하여 바람직하지 못하다.
본 발명의 제 1 목적은 발생한 플라즈마를 전극간에 효율적으로 가두어 고밀도 플라즈마에 의해 고 처리율로 처리할 수 있고 오염을 발생시키지 않는 플라즈마 처리 장치를 제공하는 것이다.
본 발명의 제 2 목적은 히터를 별도로 설치하지 않고, 전극 주위의 절연부재에 반응 생성물이 부착되는 것을 방지할 수 있는 플라즈마 처리장치를 제공하는 것이다.
본 발명의 제 1 발명은 감압가능한 처리실내에 상부 전극 및 하부 전극을 대향 배치하고, 고주파 전력의 공급에 의해 상기 상부 전극과 하부 전극 사이에 플라즈마을 발생시키고, 상기 하부 전극상에 위치한 피처리 기판에 대한 처리를 수행하는 플라즈마 처리장치에 있어서, 상기 상부 전극의 주위에는 상측 절연부재가 설치되고 상기 상측 절연부재의 외측 하단부는 상기 하부 전극의 외주보다도 외측에 위치하며, 상기 상측 절연부재의 외측 하단부는 상기 피처리 기판의 상면과 동일하게 또는 그보다 하측에 위치하며, 상기 상측 절연부재와 하부 전극 사이의 가장 좁은 간격이 상기 상부 전극과 하부 전극 사이의 간격보다도 좁게 설정된 플라즈마 처리장치를 제공한다.
또한, 본 발명의 제 1 발명은 감압가능한 처리실내에 상부 전극 및 하부 전극을 대향 배치하고, 고주파 전력의 공급에 의해 상기 상부 전극과 하부 전극간에 플라즈마을 발생시켜 상기 하부 전극상에 설치한 피처리 기판에 대한 처리를 수행하는 플라즈마 처리장치에 있어서, 상부 전극의 주변에는 상측 절연부재가 설치되고, 상기 하부 전극의 주변에는 반도체 재료로 이루어진 제 1 환상체와, 상기 제 1 환상체의 외주에 위치하는 절연재료로 이루어지는 제 2 환상체가 배치되며, 상기 상측 절연부재의 내주 부분과 상기 제 2 환상체 사이의 가장 좁은 간격이 상기 상부 전극과 하부 전극 사이의 간격보다 좁게 설정되고, 상기 상측 절연부재의 내주연이 상기 제 2 환상체의 내주연과 외주연 사이에 대응하는 위치에 설정된 플라즈마 처리장치를 제공한다.
본 발명의 제 2 발명은 감압가능한 처리실내에 상부 전극 및 하부 전극을 대향 배치하고, 고주파 전력의 공급에 의해 상기 상부 전극과 하부 전극간에 플라즈마을 발생시켜, 상기 하부 전극상에 설치한 피처리 기판에 대한 처리를 수행하는 플라즈마 처리장치에 있어서, 상기 상부 전극 또는 하부 전극중 적어도 한쪽의 주변부에 위치하는 절연부재의 내부에 높은 열전도율을 가지는 전도부재가 매설된 플라즈마 처리장치를 제공한다.
본 발명의 제 1 발명의 제 1 실시예에 따른 플라즈마 처리 장치는 처리실내에 대향 배치된 상부 전극 및 하부 전극의 상부 전극의 주위에는 상측 절연부재가 설치되고, 상측 절연부재의 외측 하단부는 하부 전극의 외주보다 외측에 위치하도록 설정되고, 이 상측 절연부재의 외측 하단부는 하부 전극상에 위치하는 피처리 기판의 상면과 거의 동일하거나 그 보다 하측에 위치하도록 설정되며, 상측 절연부재와 하부 전극 사이의 가장 좁은 간격이 상부 전극과 하부 전극 사이의 간격보다 좁게 설정된 것을 특징으로 한다. 이 경우 상측 절연부재와 하부 전극 사이의 가장 좁은 간격의 직경방향 길이는 8mm ± 5mm 정도인 것이 바람직하다.
이러한 구성의 플라즈마 처리장치에 의하면, 상측 절연부재의 외측 하단부는 하부 전극의 외주보다 외측에 위치하도록 설정되며, 이 상측 절연부재의 외측 하단부는 하부 전극 상에 위치되는 피처리 기판의 상면과 거의 같거나 그 보다 하측에 위치하도록 설정되어 있으므로, 상부 전극과 하부 전극 사이의 공간에 상측 절연부재가 이어져 나오도록 되어 있다. 상측 절연부재와 하부 전극 사이의 가장 좁은 간격이 상부 전극과 하부 전극 사이의 간극보다 좁게 설정되어 있으므로 좁은 유로가 형성되며, 상부 전극과 하부 전극 사이에 발생한 플라즈마는 진공도가 높은 분위기에서도 효율적으로 확산이 억제되고 고밀도의 플라즈마 상태를 유지할 수 있다. 더우기, 상측 절연부재의 하측 하단부는 상기 피처리 기판의 상면과 거의 동일하거나 하측에 위치되게 설정되므로, 누출하는 플라즈마가 그대로 측방향으로 확산하여 처리실 내벽을 스퍼터링하는 경우가 없다.
제 1 실시예에서는 하부 전극의 주위에 하측 절연부재가 설치되고, 상측 절연부재의 외측 하단부가 하측 절연부재의 외주보다 외측에 위치하도록 설정되며, 이들 상측 절연부재와 하측 절연부재 사이의 가장 좁은 간격을 상부 전극과 하부 전극 사이의 간격보다 좁게 설정하는 것이 바람직하다. 이 상측 절연부재와 하측 절연부재 사이의 가장 좁은 간격은 8mm ± 5mm 정도가 바람직하다. 또한, 여기에서 말하는 하측 절연부재로서는, 예를 들면, 에칭처리 장치에서 이용되고 있다. 이온의 입사 효율을 높히기 위하여 사용되는 포카스 링을 이용해도 좋다.
이러한 구성에서도, 상부 전극과 하부 전극 사이에 발생한 플라즈마는 상측 절연부재와 상측 절연부재에 의해 그 확산이 억제되고, 높은 플라즈마 밀도를 실현하는 것이 가능하며, 또한 플라즈마가 그대로 측방으로 확산하여 처리실 내측벽을 스퍼터링하지 못한다.
제 1 실시예에서는 상측 절연부재의 일부에, 플라즈마 감시용 창이나 절결부를 형성하는 것이 바람직하다. 이에 따라 창이나 절결부를 통하여, 상측 절연부재 내측의 플라즈마 상태를 외측에서 감시하는 것이 가능하다.
제 1 실시예에서는 상측 절연부재의 상면, 예를 들면, 하부 전극이나 하측 절연부재를 향한 면은 단차가 없는 연속면, 예컨대, 평탄면이나 완만한 곡면으로 형성되는 것이 바람직하다. 또한, 하측 절연부재의 상면에 대해서도 동시에 단차가 없는 연속면으로 형성하는 것이 바람직하다. 이와 같이, 상측 절연부재의 상면을 단차가 없는 연속면으로 형성함으로써, 상측 절연부재의 하면에 플라즈마에 의한 반응 생성물이 부착되는 것을 방지할 수 있다. 따라서, 부착된 반응 생성물을 제거하는 클리닝 회수를 줄일 수 있다.
제 1 실시예에서는 하부 전극의 외측 주위에 접지 전극을 설치해도 좋다. 이 경우, 하측 절연부재를 가지는 것에 대해서는, 이 하측 절연부재보다도 외측으로 접지 전극을 배치하면 좋다. 안정된 플라즈마 상태를 유지하는 것이 가능하다.
제 1 실시예에서는 상부 전극과 하부 전극 사이의 간격을 20mm 내지 35mm로 설정하는 것이 바람직하다. 이와 같이 설정함으로써, 예컨대, 에칭처리는 진공도가 10mTorr 내지100torr라는 감압 분위기에서 높은 에칭율로 미세 에칭을 피처리 기판에 시행하는 것이 한층 용이해진다. 또한, 상부 전극과 하부 전극 사이의 간격을 10mm 내지 30mm로 설정하면, 전극간 중앙부의 플라즈마 밀도는 Ar 플라즈마(불활성 가스 플라즈마)의 경우, 5×1011∼1×10ions/㎤정도가 된다.
제 1 실시예에서는 상측 절연부재의 외측 하단부는 피처리 기판의 상면의 약 5mm 상방의 위치보다 하방에 위치하는 것이 좋다. 이렇게 함으로써, 누출하는 플라즈마가 그대로 측방으로 확산되어 처리실내의 측벽을 스퍼터링하는 것을 억제할 수 있다.
본 발명의 제 1 발명의 제 2 실시예에 따른 플라즈마 처리장치는 처리실내에 설치된 상부 전극과, 상부 전극의 주변에 상측 절연부재가 설치되고, 상부 전극의 주변에는 반도체 재료로 이루어지는 제 1 환상체와, 제 1 환상체의 외주에 위치하는 절연재료로 이루어지는 제 2 환상체가 배치되고, 상측 절연부재의 내주 부분과 제 2 환상체 사이의 가장 좁은 간격이 상부 전극과 하부 전극 사이의 간격보다 좁게 설정되며, 상측 절연부재의 내주연이 제 2 환상체의 내주연과 외주연 사이의 대응하는 위치에 설정된 것을 특징으로 하고 있다.
이러한 구성의 플라즈마 처리장치에 의하면, 하부 전극의 주변에 반도체 재료로 이루어지는 제 1 환상체를 배치하고, 그 주변에 절연재료로 이루어지는 제 2 환상체를 배치하며, 이 제 2 환상체와 상측 절연부재의 내주 부분 사이에서 상하 전극의 간격보다 좁은 간격을 형성하고 있으므로, 상하 전극 사이에서 발생한 플라즈마는 제 2 환상체와 상측 절연부재의 내주 부분 사이에서 확산이 억제된다.
이와 같이, 가두어진 상태의 플라즈마중의 이온은 제 1 환상체에 의해 하부 전극상의 피처리 기판에 효과적으로 입사된다. 따라서, 고밀도의 플라즈마하에서 미세 에칭이 피처리 기판에 대해 이루어진다. 또한, 상측 절연부재의 내주연이 제 2 환상체의 내주연과의 사이에서 반응하는 위치, 즉, 제 1 환상체보다 외주에 위치하는 제 2 환상체의 상방에 위치하므로, 제 1 환상체의 작용효과를 훼손하지 않고, 플라즈마를 가두는 효과에 따른 피처리 기판 주연부의 밀도가 부당하게 높아지는 것을 억제할 수 있다.
제 2 실시예에서는 상측 절연부재의 가장 좁은 간격을 형성하는 부분의 내주 연과 외주연이 제 2 환상체 내주연과 외주연 사이의 대응하는 위치에 위치되는 것이 좋다.
이 구성은 가스 캐패시터를 유의한 구성이다. 즉, 상하 전극간보다 좁은 간격을 형성하는 상측 절연부재의 내주 부분의 내주연과 외주연이 제 2 환상체의 내주연과 외주연 사이의 대응 위치 즉, 그 상방에 설정되어 있으므로, 이 상측 절연부재의 내주 부분의 직경방향의 길이(폭)는 제 2 환상체의 직경방향의 길이(폭) 보다 짧게 되어 있다. 따라서, 그 만큼 상하 전극 사이의 공간(처리 공간)으로부터 배기시의 가스 캐패시터가 향상되며 상하 전극 사이에 공급되어 플라즈마화시키는 에칭 등의 처리가스의 유출을 억제함이 없이, 플라즈마를 효율적으로 가둘 수 있다.
물론, 상측 절연부재의 내주연도 제 1 환상체보다 외주에 위치하는 제 2 환상체의 상방에 위치하고 있으므로, 제 1 환상체의 작용효과를 훼손시키지 않고, 또 플라즈마 가두기 작용에 따른 피처리 기판 주연부의 밀도가 부당하게 높아지는 것을 억제할 수 있다.
제 2 실시예에서는 제 1 환상체의 외측 주연부와 제 2 환상체의 내측 주연부가 중첩되며, 상측 절연부재의 가장 좁은 간격을 형성하는 부분의 외주연이 제 2 환상체의 내주연과 외주연 사이의 대응 위치에 설정되고, 상측 절연부재의 가장 좁은 간격을 형성하는 부분의 내주연이 제 1 환상체의 외주연과 제 2 환상체의 내주연의 중첩 부분에 대응하는 위치에 위치되는 것이 바람직하다.
이러한 구성에 있어서, 반도체 재료의 제 1 환상체의 외주부와 절연재료의 제 2 환상제의 내주연이 중첩하고 있지만, 이 중첩 부분은, 상하 전극간의 공간에 비하여 플라즈마가 희박하다. 따라서, 이 부분에 플라즈마를 가두는 수단을 구성하는 상측 절연부재의 가장 좁은 간격을 형성하는 부분의 내주연을 위치시키므로, 상기 경우보다도 피처리 기판에 근접한 장소에서 플라즈마 확산의 방지를 도모할 수 있고, 또한 피처리 기판 주변의 플라즈마 밀도를 중심보다도 높게 하는 일은 없다. 더우기, 상측 절연부재의 가장 좁은 간격을 형성하는 부분의 외주연은 제 2 환상체의 상방에 위치하고 있으므로 가스 컨덕턴스도 양호하다.
제 2 실시예에 있어서, 반도체 재료로서는 실리콘, SiC 등을 이용할 수 있고, 절연재료로서는 석영, 사파이어, AIN 등의 절연성 세라믹 등을 이용할 수 있다.
또한, 제 1 및 제 2 실시예에 있어서는, 어떤 한쪽의 전극쪽으로 비교적 고주파수의 전력을 공급하고, 다른 전극쪽으로는 비교적 저주파수의 전력을 공급하도록 구성되어 있기 때문에, 고주파수의 전력으로 플라즈마의 발생을 유지시킬 수 있고, 한편 해리된 이온의 피처리 기판으로의 입사 속도 등을 저주파수의 전력으로 제어하는 것이 가능하다. 따라서, 처리의 제어가 용이하게 된다.
본 발명의 제 2 발명에 따른 플라즈마 처리장치는, 처리실내에 대향 배치된 상부 전극 및 하부 전극중 적어도 한쪽의 주변부에 위치하는 절연부재의 내부에, 고 열전도성을 갖는 전도부재를 매설하는 것을 특징으로 하고 있다. 이 경우, 절연부재 내부의 전도부재에는 진공층이 형성되어 있는 것이 바람직하다. 여기에서, 진공층이란 진공 단열을 위한 층을 의미한다. 따라서, 전극 판측에 진공층을 형성함으로써 이 진공층에 의해서 단열된다. 이 때, 열은 온도가 비교적 낮은 전극판의 반대측으로 전달된다.
이 구성을 갖는 플라즈마 처리장치에 의하면, 처리실내에 플라즈마를 발생시킬 때, 절연부재의 전극과 중첩된 부분(전극과 상하로 겹치는 부분)의 표면은 플라즈마중의 이온이 입사되고 이에 따라 고온이 된다. 이 때, 절연부재의 내부에는 열전도성이 우수한 전달부재가 매설되어 있으므로, 고온의 열은 이 전도부재로 전달되고 전도부재가 둘러싼 영역의 표면도 고온이 된다. 따라서, 이 영역의 표면에는 반응 생성물이 부착되기 어렵고, 또한 부착되어 있던 반응 생성물도 제거된다. 이러한 작용을 감안하면, 전도부재는 될 수 있는 한 절연부재의 표면 근처에 매설하는 것이 절연부재의 표면 온도를 높일 수 있다.
또한, 전도부재는 절연부재의 내부에 기밀하게 매설되어 있으므로, 전도부재로서 열전도성이 우수한 금속 재료, 예를 들면, 알루미니늄이나 기타, 다결정성 실리콘, BN(붕소질화물) 등을 이용해도, 직접 플라즈마에 의해 폭격되는 일은 없고, 플라즈마에 의해 전도부재가 스퍼터링되어 처리실내를 오염하지 않는다. 이 경우, 석영에 가까운 열팽창율을 가지는 금속, 예를 들면, 코발트 합금 등을 이용함으로써, 절연부재와 전도부재가 거의 일체로 되어 팽창, 수축이 일어나고 열쇼크(heat shock)를 방지할 수 있다.
제 2 발명에서는 절연부재 내부의 전도부재의 이면, 즉 전극 상호의 대향면의 반대면에 진공층이 형성되는 것이 바람직하다. 전도부재에 전달된 열이 이면측으로 방열되는 것이 억제되고, 표면측, 즉 절연부재의 표면측(처리실 분위기의 영향을 받는 측)의 온도를 효율적으로 올릴 수 있다.
이하, 본 발명의 플라즈마 처리장치의 실시예에 대해서 도면을 참조하여 구체적으로 설명한다. 또한, 이하의 실시예에서는 플라즈마 처리장치로서 에칭장치를 예를 들어 설명한다.
제 1 실시예
제 1 도는 본 발명의 제 1 실시예에 따른 에칭장치(1)의 구성을 도시한 설명도이다. 이 에칭장치(1)의 처리실(2)은 산화 알루마이트 처리된 알루미늄 등으로 이루어지는 기밀하게 폐쇄가능한 원통 형상의 처리용기(3)내에 형성된다. 이 처리용기(3) 자체는 예컨대, 접지선(4)을 통하여 접지되어 있다. 처리실(2)내의 바닥부에는 세라믹 등의 절연 지지판(5)이 설치되어 있고, 이 절연 지지판(5)의 상부에는 피처리 기판 예를 들면, 직경 8인치의 웨이퍼(W)를 배치하고, 하부 전극을 구성하는 거의 원통 형상의 서셉터(6)가 상하운동가능하게 설치되어 있다.
서셉터(6)는 절연 지지판(5) 및 처리용기(3)의 바닥부를 관통하는 승강축(7)에 의해 지지되어 있고, 이 승강축(7)은 처리용기(3)의 외부에 설치되어 있는 구동 모터(8)에 의해 상하구동되도록 구성되어 있다. 따라서, 이 구동 모터(8)의 작동에 의해 서셉터(6)는 제 1 도에 화살표 A로 나타낸 바와 같이 상하운동가능하게 되어 있다. 또한, 처리실(2)의 기밀성을 확보하기 위하여 서셉터(6)와 절연 지지판 (5) 사이에는 승강축(7)의 외측을 둘러싸도록 신축가능한 기밀부재, 예를 들면, 벨로우즈(9)가 설치되어 있다.
서셉터(6)는 예를 들면, 표면이 산화처리된 알루미늄으로 구성되어 있다. 또한, 이 서셉터(6)의 내부에는 온도 조절수단, 예를 들면, 세라믹 히터 등의 가열수단(도시안함)가 설치되어 있고, 냉매가 순환하는 냉매 순환로(도시안함)가 설치되어 있으며, 서셉터(6)상의 피처리 기판을 소정 온도로 유지하는 것이 가능하도록 구성되어 있다. 보통, 이러한 온도는 온도 센서(도시안함), 온도 제어기구(도시안함)에 의해 자동적으로 제어되도록 되어 있다.
또한, 상기 서셉터(6)상에는 웨이퍼(W)를 흡착 유지하기 위한 정전 척(11)이배치되어 있다. 이 정전 척(11)은 예를 들면, 도전성의 박막을 폴리이미드계 수지 필름에 의해 상하로부터 협지한 구성을 가지고 있다. 처리용기(3)의 외부에 설치되어 있는 고압 직류전원(12)으로부터 소정의 전압이 도전성 박막에 인가되면, 폴리이미드계의 수지 표면에 전하가 발생하고, 이 전하에 의해 발생하는 쿨롱력 (coulomb force)에 의해 웨이퍼(W)가 정전 척(11)의 상면에 흡착 유지된다. 웨이 퍼(W)를 유지하는 방법으로는 정전 척(11)을 이용하는 방법에 한정되지 않고, 기계적 클램프에 의하여 웨이퍼(W)의 주변부를 서셉터(6)측으로 가압하도록 하여 서셉터(6)상에 웨이퍼(W)를 유지하는 방법을 채용해도 좋다.
서셉터(6) 상부 가장자리에는 정전 척(11)을 둘러싸도록 하여, 석영으로 이루어진 대략 환상의 하측 절연부재(13)가 배치되어 있다. 이 하측 절연부재(13)의 상면은 웨이퍼(W)의 표면과 거의 동일면이며, 그 외주연부(13a)는 전위차가 발생하는 다른 부분과의 사이에서의 방전을 방지하기 위하여, 곡률을 가지는 형상(둥그런 형상)을 가진다. 또한, 이 하측 절연부재(13)는 포커스 링으로도 기능하며, 아울러 플라즈마 중의 이온을 효과적으로 웨이퍼(W)로 입사시키는 기능을 가지고 있다.
서셉터(6)의 상방에는 이 서셉터(6)와 평행하게 대향하도록, 상부 전극(21)이 설치되어 있다. 이 상부 전극(21)은 제 2 도에 도시하는 바와 같이, 여러층의 중공구조를 가지며, 또한 적어도 플라즈마에 접하는 부분, 예를 들면 처리실(2)내로의 노출 부분은 인가하는 고주파 전력이 충분히 투과하는 정도의 두께의 실리콘 (Si)으로 구성되어 있다. 상부 전극(21)의 재질로서는, 가스 상태의 카본이나 표면이 알루마이트처리된 알루미늄을 사용해도 좋다. 또한, 이 상부 전극(21)에서중공부내의 격벽 평판 및 서셉터(6)와의 대향면에는 도입되는 가스를 균일하게 확산하기 위하여, 여러개의 확산구멍(22)이 형성되어 있다. 이 상부 전극(21)의 하면, 즉 서셉터(6)와의 대향면과, 정전 척(11)간의 거리, 즉 제 2 도에 도시하는 간극(G1)은 높은 에칭율을 얻기 위하여 20 내지 35mm로 설정하는 것이 가장 적합하다.
상부 전극(21)의 중앙에는 처리가스 등을 도입하기 위한 가스 도입구(23)가 설치되어 있다. 처리가스 공급원(27)으로부터의 에칭가스, 예를 들면, CF4가스는 밸브(24, 25), 질량 흐름(mass flow) 콘트롤러(26)를 통하여 이미 설정된 유량으로 제어되고 가스 도입구(23)를 거쳐 확산구멍(22)을 통하여 처리실(2)내의 서셉터(6)상에 균일하게 공급한다.
또한, 상부 전극(21)의 외주부에는 거의 환상인 상측 절연부재(31)가 배치되어 있으며, 상부 전극(21)과 처리용기(3) 사이의 절연부재 기능도 부담하고 있다.
상측 절연부재(31)는 제 2 도에 도시하는 바와 같이, 상부 전극(21)의 외측 하단부를 둘러싸고 또한 그 외측 하단부(31a)가 하측 절연부재(13)의 외주보다 외측에 위치하도록 설정되어 있다. 이 상측 절연부재(31)의 하면은 하측 절연부재 (13)의 상면 외주연부(13a)에 대향하며, 상면 외주연부(13a)보다 큰 곡률반경으로 하측 절연부재(13)의 상면 외주연부(13a)에 대하여 오목형상의 완만 곡면으로 형성되어 있다. 이러한 구성에 의하여, 상측 절연부재(31)의 하면과 하측 절연부재 (13)의 상면 외주연부(13a)간에 좁은 가스 유로가 형성되어 있다. 그 가장 좁은부분의 간격(L1)은 간격(G)보다도 좁게 설정되어 있다. 또한, 구체적으로는 L1=8mm± 5mm인 것이 바람직하다.
또한, 상측 절연부재(31)의 외측 하단부(31a)는 하방에 볼록형상의 완만 곡면으로 형성되고, 제 2 도에 도시하는 바와 같이, 정전 척(11)상에 유지된 웨이퍼 (W)의 상면보다 낮은 위치에 위치한다.
또한, 본 실시예의 형태에서, 상측 절연부재(31)의 하면은 하측 절연부재 (13)의 상면 외주연부(13a)보다 큰 곡률반경을 가지며, 하측 절연부재(13)의 상면 외주연부(13a)에 대하여 오목형상의 완만 곡면으로 형성되어 있다. 제 3 도에 도시하는 바와 같이, 상측 절연부재(31)의 하면과 하측 절연부재(13)의 상면 외주연부(13a)가 평행이 되도록 설정해도 좋다. 이 경우, 상측 절연부재(31)의 하면과 하측 절연부재(13)간의 유로의 가스 컨덕턴스가 증대하게 되고, 상부 전극(21)과 서셉터(6)간에 발생한 플라즈마의 밀도를 한층 높히는 것이 용이해져 에칭율을 더욱 향상시킬 수 있다.
처리용기(3)의 하부에는 예를 들면, 진공 펌프 등의 진공 형성 수단(41)에 연결된 배기관(42)이 접속되어 있고, 서셉터(6)의 주위에는 배플판(43)이 환상으로 배치되어 있다. 따라서, 처리실(2)속은 10mTorr 내지 100mTorr의 임의의 진공도까지 진공 형성될 수 있다. 또한, 이 배플판(43)은 적당한 절연체, 예를 들면, 석영등으로 이루어진 지지판(도시안함) 등으로 지지된다. 또한, 처리 용기(3)의 측벽에는 처리실(2)내의 압력을 검출하는 압력 센서(70)가 설치되고, 압력 센서(70)로부터의 신호에 의거하여 진공 형성 수단(41)의 동작이 제어되도록 되어 있다. 이러한 구성으로, 예를 들면, 처리장치(2)내를 소정의 압력치로 유지하는 것이 가능해진다.
이 에칭장치(1)의 고주파 전력의 공급계에 있어서, 하부 전극이 되는 서셉터 (6)에 대해서는, 플라즈마중의 이온을 당기기 위하여, 주파수가 1MHz을 넘지 않는 범위내에서 예를 들면 800kHz의 고주파 전력을 출력하는 고주파 전원(44)으로부터의 전력이 정합기(45)를 통하여 공급되는 구성으로 되어 있다. 상부 전극(21)에 대해서는 정류기(46)를 통하여 플라즈마를 생성시킬 수 있는, 주파수가 고주파 전원(44)보다 높은 1MHz 이상의 주파수, 예를 들면, 27.12MHz의 고주파 전력을 출력하는 고주파 전원(47)으로부터의 전력이 공급되는 구성으로 되어 있다.
처리용기(3)의 측부에는 게이트 밸브(51)를 통하여 로드 로크실(52)이 인접하여 배치되어 있다. 이 로드 로크실(52)내에는 피처리 기판인 웨이퍼(W)를 처리용기(3)내의 처리실(2) 사이에서 이송하기 위한 이송 아암 등의 이송수단(53)이 설치되어 있다.
제 1 실시예에 따른 에칭장치(1)의 주요부는 이상의 구성으로 되어 있다. 다음으로, 예를 들면, 실리콘 웨이퍼(W)의 산화막(SiO2)에 대하여 에칭처리하는 경우의 처리 방법 등에 관해 설명한다. 또한, 이들 공정은 예정된 프로그램에 의하여 실행하도록 되어 있다.
우선, 로드 로크실(52)과 처리실(2)의 압력이 동일하든가, 또는 로드 로크실 (52)내의 압력이 처리실(2)내의 압력보다 예정된 정도로 높아진 후, 게이트 밸브(51)가 개방되고, 이송수단(53)에 의해 웨이퍼(2)내로 반입된다. 이 때, 구동모터 (8)의 작동에 의해 서셉터(6)는 하강하여, 웨이퍼(W)를 수납하기 위한 대기상태가 된다. 따라서, 이송수단(53)에 의해 웨이퍼(W)가 정전 척(11)상에 위치된 후, 이송수단(53)은 회피하고 게이트밸브(51)가 폐쇄되며, 또한 구동 모터(8)의 작동에 의해 서셉터(6)는 소정의 위치까지 상승한다.
그 후, 처리실(2)내가 진공 형성 수단(41)에 의해 감압되어 압력 서셉터(70)가 검출한 소정의 압력치로 된 후, 처리가스 공급원(27)으로부터 CF4가스가 공급되고, 처리실(2)의 압력이 이미 정해진 과정에 따라, 예를 들면, 10mTorr로 설정 유지된다. 또한, 이 압력센서(70)는 후술하는 좁은 유로에 대면한 처리실내에 설치해도 좋다.
그 후, 상부 전극(21)에 대하여 고주파 전원(47)으로부터 주파수가 27.12MHz의 고주파 전력이 공급되면, 상부 전극(21)과 서셉터(6)와의 사이에 플라즈마가 생성된다. 또한, 약간의 시간 지연(1초 이하의 타이밍 지연)을 두고 서셉터(6)에 대하여 고주파 전원(44)으로부터 주파수가 800kMz의 고주파 전력이 공급된다. 이와 같이, 서셉터(6)에 대하여 타이밍을 지연시켜 고주파 전력을 공급함으로써, 지나친 전압에 의해 웨이퍼(W)가 손상되는 것을 방지 할 수 있다.
이렇게 발생한 플라즈마에 의하여, 처리실(2)내의 CF4가스 분자가 해리되고, 이 때에 생기는 불소 래디컬 원자가 서셉터(6)측에 공급된 바이어스 전압 (800kHz)에 의해 그 입사 속도가 조정되고, 웨이퍼(W) 표면의 실리콘 산화막(SiO2)을 에칭해 나간다.
이 과정에서, 상기와 같은 한쪽의 전극을 구성하는 서셉터(6)에는 웨이퍼(W)를 둘러싸도록 하측 절연부재(13)가 배치되며, 또한 다른쪽의 상부 전극(21)의 주위에도 상측 절연부재(31)가 설치되며, 양자간에 전극의 간극(G)보다도 좁은 유로가 형성되어 있으므로, 서셉터(6)와 상부 전극(21)간에 발생한 플라즈마가 웨이퍼 (W) 상면으로부터 외측으로 확산되는 것이 억제되고, 전극간의 플라즈마 밀도는 높아진다. 물론, 처리실(2)내의 압력은 10mTorr 정도의 고 진공도로서, 플라즈마의 확산을 효과적으로 억제할 수 있다. 따라서, 64M DRAM의 고 집적도의 반도체 디바이스의 에칭처리에도 대처가능하다. 다시 말하면, 감압하에서 에칭율의 고속화가 가능해진다. 더우기, 하측 절연부재(13)는 포커스 링의 기능을 아울러 가지고 있으므로, 에천트 이온인 불소 래디컬은 효율적으로 웨이퍼(W)로 입사되고, 웨이퍼 (W) 표면의 실리콘 산화막(SiO2)의 에칭율은 보다 높아진다.
상술한 바와 같이, 종래 기술에 관한 에칭장치는 플라즈마의 확산에 따른 처리용기 내벽의 스퍼터링 등이 문제시 되지만, 본 발명에 따른 에칭장치(1)는 상측 절연부재(31)의 외측단부(31a)가 하측 절연부재(13)의 외측에 위치하고, 더우기 웨이퍼(W)의 상면보다 낮은 위치에 위치하므로, 상부 전극(21)과 서셉터(6)간에 발생하는 플라즈마가 그 상태로 처리용기(3)의 내측벽을 향하여 확산되는 경우는 없다. 따라서, 처리장치(3)의 내측벽이 스퍼터링되는 경우는 없다.
또한, 본 실시예에서 상측 절연부재(31)의 하면은 단차가 없는 완만한 곡면으로 형성되므로, 배기시에 각종의 반응 생성물이 하면에 부착되는 것이 억제되며, 이 점에서도 처리실(2)내의 오염방지가 도모되고 있다. 따라서, 웨이퍼(W)에 대한 에칭 과정시의 원료에 대한 제품의 비율이 향상된다.
또한, 본 실시예에 있어서, 상측 절연부재(31)의 하면과 하측 절연부재(13)의 상면 외주연부(13a)간의 가장 좁은 간격(L1)에 대해서는 그 직경방향의 길이, 즉 대향하는 상면 외주연부(13a)와 서로 마주보는 길이가 길수록 상측 절연부재 (31)의 하면과 하측 절연부재(13)에 의해 형성되는 좁은 유로의 컨덕턴스가 커지고, 플라즈마를 가두는 효과가 커진다. 따라서, 상부 전극(21)과 서셉터(6)간의 플라즈마 밀도도 높아지며 에칭율도 향상된다. 단, 컨덕턴스가 너무 크면, 처리실 (2)내에서의 대향 전극간의 영역과, 상측 절연부재(31)의 외방 영역의 압력차가 커지므로 바람직하지 못하다.
이와 같이, 컨덕턴스의 균형을 고려하면, 상측 절연부재(31)의 하면과 하측 절연부재(13)의 상면 외주연부(13a)간의 가장 좁은 간격(L1)의 직경방향의 길이는, 본 실시예와 같이, 8인치 웨이퍼(W)를 처리대상으로 할 경우, 3mm 내지 20mm 정도의 길이로 설정하는 것이 적합하다. 즉, 제 3 도에 도시한 바와 같이, M1을 3mm 내지 20mm로 설정하게 된다.
또한, 본 실시예에서 플라즈마 밀도는 Ar 플라즈마에서 5×1011내지 1×10 ions/㎤이며, 종래의 장치에서 플라즈마 밀도에 비하여 약 50% 향상된 것이 확인되었다.
다음으로, 상기 실시예에 따른 에칭장치(1)를 사용하여 실제로 에칭했을 때의 상측 절연부재(31)의 하면과 하측 절연부재(13)의 상면 외주연부(13a)간의 가장 좁은 간격(L1)과 에칭율과의 관계를 조사했다. 그 결과를 하기 표 1에 도시한다. 또한, 에칭가스로서는 C4F8/CO/Ar/O2=10/30/255/6(단위SCCM)의 비율로 흐르고, 고주파 전원(47)의 파워는 2kW, 처리실(2)내의 내압은 45mTorr 전극간 간극(G1)은 25mm로 했다. 또한, 표 중의 에칭율의 수치는 면내 평균 에칭율을 도시하고, 「±」이하의 수치는 평균치에 대한 최대 에칭율과 최소 에칭율의 차의 관계를 백분율로 표시하고 있다.
표 1
표 1에서 알 수 있는 바와 같이, L1=8 mm의 경우가 L1=16mm인 경우보다 에칭율이 높고 균일성도 양호하다.
다음으로, L1=8mm를 고정하고, 간격(L1)의 직경방향의 길이 M1을 변화시킨 때의 에칭율과 컨덕턴스와의 관계를 조사했다. 그 결과를 하기 표 2에 도시한다.
표 2
표 2에서 보는 바와 같이, M1=3mm인 때가 가장 에칭율이 높고, 또한 컨덕턴스도 M1=3mm인 때에 양호하다. 또한, 전극간의 간극(G1)을 15mm로 설정하면, 에칭율은 더욱 높아지고, 5967(옴스트롬) ± 5.8(%)의 높은 수치가 얻어진다.
또한, 플라즈마가 처리실(2) 내벽에 접하는 면적을 적게 하면, 상호 작용이 적어지고, 처리실 내벽으로부터의 출력 가스량을 감소시킬 수 있다. 이 작용은 챔버 클리닝용 용제의 잔액이나 챔버 부착물로부터의 출력 가스량을 감소시킬 수 있고, 플라즈마의 안정화를 얻을 수 있는 효과도 있다. 이들 대책을 얻기 위하여, 전극간의 간극은 20mm 내지 35mm인 것이 적합하다.
또한, 이런 종류의 플라즈마를 사용한 에칭장치는 에칭의 종점 시기를 플라즈마의 명도 등을 외부로부터 관찰하여 검출하는 것이 종래로부터 시행되고 있다. 이 기술을 본 실시예에 따른 에칭장치(1)에 적용하는 경우, 제 4 도에 도시하는 바와 같이, 처리용기(3)에 예를 들면, 석영 등으로 구성된 투과창(3a) 등을 부착하고, 외부에 설치된 적절한 검출 센서(도시안함)를 이용하여, 상부 전극(21)과 서셉터(6) 사이의 플라즈마를 감시한다. 따라서, 종래 방식의 종점 검출방법을 실시하는 경우에는 제 4 도 및 제 5 도에 도시하는 바와 같이, 예를 들면 상측 절연부재 (31)의 일부에 투명한 석영 등으로 플라즈마 감시용 창(31b)을 구성하고, 창(31b)을 통하여 플라즈마를 감시하도록 하면 된다.
또한, 창(31b)을 형성하는 대신에, 제 6 도 및 제 7 도에 도시하는 바와 같이, 상측 절연부재(31)의 하면에 플라즈마 감시용 절결부(31c)를 형성하고, 상측 절연부재(31)의 하면에 플라즈마 감시용 절결부(31c)를 형성하고, 이 절결부(31c)를 통하며 투과창(3a)으로부터 플라즈마의 발광 등을 감시함으로써 종래의 에칭의 종점 판정장치를 그대로 이용할 수 있다.
상기 실시예에서는 상측 절연부재(31)의 하면의 형상은 기술한 바와 같이 하측 절연부재(13)의 상면 외주연부(13a)보다 큰 곡률반경으로 하측 절연부재(13)의 상면 외주연부(13a)에 대하여 오목형상의 완만한 곡면으로 형성되고, 또한 상측 절연부재(31)의 외측 하단부의 위치와, 웨이퍼(W)의 상면보다 낮은 위치에 설정했지만, 이에 한정되지 않고, 예를 들면, 제 8 도에 도시한 형상으로 설정해도 좋다.
제 8 도에 도시한 예는 상부 전극(21)의 외주부에 설치되는 상측 절연부재 (61)의 하면을 평탄한 경사면으로 형성하고, 이 상측 절연부재(61)의 외측 하단부 (61a)의 높이를 웨이퍼(W)의 상면보다 약 5mm 이내에서 그 보다 높은 위치에 설정한 것이다. 즉, 제 8 도에서 N1를 약 5mm 이하로 설정한 것이다. 이 예에서도, 상부 전극(21)과 서셉터(6)간에 발생한 플라즈마의 확산을 효과적으로 억제할 수 있고, 처리용기(3) 내측벽을 플라즈마가 직접 스퍼터링하는 것이 억제된다.
또한, 이 경우에는 상측 절연부재(61)의 외측 하단부(61a)가 웨이퍼(W)의 상면보다도 높은 위치에 있기 때문에, 에칭처리의 종점 검출은 제 4 도에 도시한 바와 같이, 그대로 처리용기(3)의 측벽에 투과창(3a)을 형성함으로써 투과창을 통하여 플라즈마를 감시하여 수행할 수 있다.
또한, 제 9 도에 도시하는 바와 같이, 상부 전극(21)의 외주부에 설치하는 상측 절연부재를 원통형상의 상측 절연부재(81)로 해도 좋다. 이 상측 절연부재 (81)의 외측단부(81a)는 동시에 상측 절연부재(81)의 하면을 구성하고, 외측단부 (81a)는 웨이퍼(W)의 상면보다 낮은 위치에 설정되어 있다. 또한, 이 외측단부 (81a)의 직경방향의 길이, 즉 제 9 도에서의 M2는 플라즈마 밀도의 높이와 처리실 (2)내의 상측 절연부재(81)를 경계로 하여 압력차의 허용 범위를 고려하면, 8mm ± 5mm의 길이로 설정하는 균형이 바람직하다.
또한, 제 9 도에 도시하는 예에서는 서셉터(6)의 외주에 적당한 절연부재 (62)를 통하여 접지 전극(63)을 배치하고 있다. 이와 같은 접지 전극(63)을 배치함으로써 상부 전극(6)과의 사이에서 생성된 플라즈마의 매칭을 취하기가 용이해지며, 플라즈마를 보다 안정되게 할 수 있다. 이와 같은 접지 전극은 상기 실시예의 제 2 도 내지 제 4 도, 제 6 도 및 제 8 도에 도시하는 구성의 것에 대해서도 적용가능하다.
또한, 이 상측 절연부재(81)의 외측단부(81a)는 웨이퍼(W)의 상면보다 낮은 위치에 설정되어 있으므로, 플라즈마 상태를 감시하여 그 발광 등으로 에칭 종점을 판단하는 경우에는 제 4 도 내지 제 7 도에 도시하는 바와 같이, 상측 절연부재 (81)의 일부에 석영 등으로 플라즈마 감시용 창을 형성하거나 또는 하면에 플라즈마 감시용 절결부를 형성하면 좋다.
또한, 상기 실시예는 모두 피처리 기판이 반도체 웨이퍼인 경우에 대해서 설명하고 있으나, 제 1 실시예는 예를 들면, LCD 기판을 처리대상으로 하는 장치 구성에 적용할 수 있다. 또한, 상기 실시예는 실리콘 반도체 웨이퍼 표면의 실리콘 산화 막(SiO2)을 에칭하는 과정을 실시하는 장치로서 구성되어 있는데, 이에 한하지 않고, 제 1 실시예는 각종 절연막, 예를 들면 실리콘 질화막(SiN), TEOS 산화막, BPSG 막의 에칭 과정을 실시하는 장치에도 적용할 수 있다. 또한 제 1 실시예는 성막 처리의 플라즈마 CVD 장치나 스퍼터링 장치 등의 다른 플라즈마 처리장치에도 적용할 수 있다.
제 1 실시예에 의하면, 상부 전극과 하부 전극간에 발생된 플라즈마는 진공도가 높은 분위기에서도 효율적으로 확산이 억제되고, 높은 플라즈마 밀도가 얻어진다. 따라서, 예를 들면, 64M DRAM의 에칭에 대응한 콘텍트 홀의 형성도 가능하며, 고 미세 에칭처리도 가능하다. 더우기, 처리실 내벽을 스퍼터링하지 않고, 오염의 발생을 억제하여 원료에 대한 제품의 비율의 향상을 도모할 수 있다.
또한, 상측 절연부재의 일부에 플라즈마 감시용 창을 형성하거나 상측 절연부재의 하면에 플라즈마 감시용 절결부를 형성함으로써, 이들 창이나 절결부를 통하여 외부에서 플라즈마 상태를 감시할 수 있고, 이에 따라, 예를 들면, 에칭처리의 종점을 용이하고 정밀하게 검출할 수 있다.
또한, 상측 절연부재의 하면을 연속면으로 함으로써 반응 생성물이 부착되는 것을 방지하고, 처리실내의 오염을 더 방지하는 것이 가능해져 유지보수 간격을 길게 할 수 있다.
또한, 하부 전극의 외측 주위에 접지 전극을 설치함으로써 안정된 플라즈마 상태를 유지할 수 있고, 처리의 균일화을 향상시켜 원료에 대한 제품의 비율을 향상시킬 수 있다.
제 2 실시예
제 10 도는 본 발명의 제 1 발명의 제 2 실시예에 따른 에칭장치(1)의 구성을 도시하는 설명도이다. 또한, 제 10 도에 있어서 제 1 도와 동일 부분에 대해서는 제 1 도와 같은 부호를 부여하며 상세한 설명은 생략한다.
서셉터(6)상의 주변에는 정전 척(11)을 둘러싸도록 하여, 제 1 환상체를 구성하는, 대체로 환상의 내측 포커스 링(61)이 설치되어 있다. 이 내측 포커스 링 (61)은 도전성의 실리콘으로 구성되어 있다. 내측 포커스 링(61)의 내주측과 외주측의 상면에는 제 11 도에 도시하는 바와 같이, 각각 한단계 내려간 단부(61a, 61b)가 형성되어 있으며, 내주측 단차부(61a)의 상면에는 정전 척(11)의 상면과 동일면이 되도록 설정되고, 이 단차부(61a)의 상면에는 정전 척(11)으로 유지된 웨이퍼(W)의 주연부 하면이 위치된다. 이 내측 포커스 링(61)은 플라즈마중의 이온을 효과적으로 웨이퍼(W)로 입사시키는 기능을 가지고 있다.
내측 포커스 링(61)의 외주에는 대체로 환상의 외측 포커스 링(62)이 설치되어 있다. 이 외측 포커스 링(62)에는 절연성 석영으로 구성되어 있다. 외측 포커스 링(62)의 내주부(62a)는 제 11 도에 도시하는 바와 같이, 내측 포커스 링(61)의 단차부(61b)위에 위치되도록 설치되어 있다. 따라서, 내측 포커스 링(61)의 외주변과 외측 포커스 링(62)의 내주변과는 각 단차부(61b)와 내주부(62a)의 부분에서 서로 겹쳐지고 있다. 또한, 내측 포커스 링(61)의 중앙부(61c)와, 이 외측 포커스 링(62)의 상면과는 동일면이 되도록 설정되어 있다. 또한, 외측 포커스 링(62)의 외주상연부(62b)는 외측에 볼록형상의 완만한 곡면 형상으로 형성되고 가스가 누출되지않고 원활하게 배출되도록 되어 있다. 이 외측 포커스 링(62)은 후술하는 밀봉 링(73)과 함께 플라즈마의 확산 방지 기능을 가지고 있다.
서셉터(6)의 주위에는 제 11 도에 도시하는 바와 같이, 석영으로 구성된 절연 링(63), 불소계 수지로 구성된 절연 링(64)을 통하여 절연성 배플판(43)이 배치되며, 이 배플판(43)의 내주부는 석영으로 구성된 지지체(65)에 대하여 볼트 등의 수단에 의해 고정되어 있다. 따라서, 서셉터(6)의 상하운동에 따라서 이 배플판 (43)도 상하운동하는 구성으로 되어 있다. 이 배플판(43)에는 여러개의 투과 구멍 (43a)이 형성되어 있고, 가스를 균일하게 배출하는 기능을 가지고 있다.
처리실(2)내의 상부에는 처리용기(3)와 절연부재(71)를 통하여 에칭가스나 기타 가스를 처리실(2)내로 도입하기 위한 확산부재(72)가 설치되어 있다. 이 확산부재(72)는 제 11 도에 도시하는 바와 같이, 평판부(72a)를 평행하게 상하 여러 층으로 배치한 중공구조를 가지며, 또한 각 평판부(72a)에는 여러개의 확산구멍 (72b)이 형성되어 있다. 이 확산부재(72)의 중앙에는 가스 도입구(23)가 설치되고, 또한 밸브(24, 25)는 유량 조절을 위해 질량 흐름 콘트롤러(26)를 통하여 처리가스 공급원(27)으로부터의 에칭가스, 예를 들면, CF4가스가 도입구(23), 확산부재(72)의 확산구멍(72b)을 통하여 처리실(2)내로 도입하도록 되어 있다.
확산부재(72)의 하방에는 서셉터(6)와 대향하도록 상부 전극(21)이 절연부재 (71)에 의해 지지되어 있다. 이 상부 전극(21)은 도전성 실리콘으로 구성되어 있다. 또한, 상부 전극(21)은 확산부재(72)에 의해 도입된 가스를 서셉터(6)상의 웨이퍼(W)에 대하여 균일하게 토출하기 위한 여러개의 토출구(22)를 가지고 있다. 상측 절연부재를 구성하는 밀봉 링(73)은 그 상측 내주부가 절연부재(71)에 고정되도록 하여 상부 전극(21)의 주변에 배치되어 있다.
이 밀봉 링(73)에는 환상 돌출부(73a)가 내주부 하면에 형성되어 있고, 돌출부(73a)의 내주연(73b)은 내측 포커스 링(61)의 외주변과 외측 포커스 링(62)의 내주변이 서로 중첩된 부분에 대응하는 위치, 즉 내측 포커스 링(61)의 단차부(61b)와 외측 포커스 링(62)의 내주부(62a)가 서로 중첩된 부분의 상방에 위치하도록 설정되어 있다. 이 돌출부(73a)의 하면과 외측 포커스 링(62)의 내주부(62a) 사이의 거리는 서셉터(6)의 상면 즉, 정전 척(11)의 상면과 상부 전극(21)의 하면간의 간격보다도 짧게 설정되어 있다. 또한 이 밀봉 링(73) 각각의 모서리부는 모두 외측으로 돌출된 형태의 완만한 형상으로 형성되어 있고 가스가 누출되지 않고 원활하게 배출되게 되어 있다.
제 2 실시예에 따른 에칭장치(1)의 요부는 이상과 같이 구성된다.
다음으로 예를 들면, 실리콘 웨이퍼(W)의 산화막(SiO2)에 대하여 에칭처리할 경우의 처리방법 등에 대하여 설명한다. 또한 이들 공정은 예정 프로그램에 의하여 실행된다.
우선, 게이트 밸브(51)가 개방된 후, 이송수단(53)에 의해 웨이퍼(W)가 처리실(2)내로 반입된다. 이 때 구동모터(8)의 작동에 의해 서셉터(6)는 하강하여 웨이퍼(W) 수납을 위한 대기 상태로 되어 있다. 이송수단(53)에 의하여 웨이퍼(W)가 정전 척(11) 상이 위치된 후, 이송수단(53)은 회피하여 게이트 밸브(51)는 폐쇄되고, 구동모터(8)의 작동에 의해 서셉터(6)는 소정의 처리위치까지 상승한다. 이어서 처리실(2)내가 진공수단(41)에 의해 감압되어 소정의 감압도가 된 후, 처리가스공급원(27)으로부터 CF4가스가 공급되고 처리실(2)의 압력이 예를 들면 10mTorr로 설정 유지된다.
그 후 상부 전극(21)에 대하여 고주파 전원(47)으로부터 주파수가 27 12MHz의 고주파 전력이 공급되면, 상부 전극(21)과 서셉터(6)간에 플라즈마가 생성된다. 또한, 이 보다 약간의 시간 지연(1초 이하의 타이밍 지연)을 두고 서셉터(6)에 대하여 고주파 전원(44)으로부터 주파수가 800kHz의 고주파 전력이 공급된다. 이와 같이 서셉터(6)에 대하여 타이밍을 지연시키고 고주파 전력을 공급함으로써 과도한 전압에 의해 웨이퍼(W)가 충격을 받는 것을 방지할 수 있다.
이렇게 발생한 플라즈마에 의해 처리실(2)내의 CF4가스가 해리되고 이 때 발생하는 불소 래디컬이 서셉터(6)측에 인가된 바이어스 전압에 의해 그 입사 속도가 계속해서 조정되어 웨이퍼(W) 표면의 실리콘 산화막(SiO2)을 에칭해 간다.
이 경우 서셉터(6)에는 웨이퍼(W)를 둘러싸도록 배치된 내측 포커스 링(61)의 외주에 외측 포커스 링(62)이 설치되고, 외측 포커스 링(62)의 상방에는 상부 전극(21)의 주변에 배치된 밀봉 링(73)의 돌출부(73a)가 위치하여 양자에서 정전 척(11)의 상면과 상부 전극(21)의 하면 사이보다 짧은 간격을 이루고 있으므로, 서셉터(6)와 상부 전극(21) 사이에서 발생한 플라즈마의 확산이 억제되고, 플라즈마의 밀도는 높아진다. 처리실(2)내의 압력이 10mTorr라는 높은 진공도에 있어서도 플라즈마의 확산을 효과적으로 억제할 수 있다. 따라서, 64M DRAM의 고직접도의 반도체 디바이스 에칭처리에도 대처가능하며 에칭율도 높아진다. 또한, 웨이퍼(W)의 주위에는 내측 포커스 링(61)이 배치되어 있으므로, 에칭 이온인 불소 래디컬은 효율적으로 웨이퍼(W)로 입사하고 웨이퍼(W) 표면의 실리콘 산화막(SiO2)의 에칭율은 한층 높아진다. 구체적으로는 이러한 구성의 에칭장치에 의하면, 실리콘 산화막의 선택비가 종래에 비해서 20% 내지 30% 향상된다. 또한, 실리콘 산화막의 종횡비도 50% 정도로 할 수 있다.
플라즈마를 가두기 위한 돌출부(73a)의 내주부 근방에서는 플라즈마 밀도가 높아지려고 하는 경향이 있는데, 돌출부(73a)의 내주연(73b)은 내측 포커스 링(61)의 외주변과 외측 포커스 링(62)의 내주변이 서로 중첩된 부분에 대응하는 위치, 즉 내측 포커스 링(61)의 단차부(61b)와 외측 포커스 링(62)의 내주부(62a)가 서로 합쳐진 부분의 상방에 위치하고 있다. 이렇게 합쳐진 부분은 도전체인 내측 포커스 링(61)상에 절연체인 외측 포커스 링(62)이 얇게 겹쳐진 구성이므로, 바이어스는 다소 빠지는 조건이 되며, 따라서 서로 합쳐진 부분의 플라즈마는 웨이퍼(W)의중심부보다 원래 희박하게 되어 있다. 따라서, 돌출부(73a)의 내주부 근방에서의 플라즈마 밀도는 중심부와 거의 차이가 없는 밀도로 유지되고, 그 결과 웨이퍼(W)주변부의 플라즈마 밀도는 중심부와 거의 변하지 않는 밀도로 되어 있다. 따라서, 웨이퍼(W)에 대한 에칭의 균일성은 양호하다.
에칭에 사용한 CF4가스는 밀봉 링(73)과 외측 포커스 링(62) 사이로부터 배 플판(43)을 통하여 배기관(42)으로부터 처리실(2) 외부로 배출되는데, 상술한 바와같이, 플라즈마 확산을 억제하므로 밀봉 링(73)의 하면에는 돌출부(73a)가 형성되고 이에 의해 유로도 더욱 좁아진다. 따라서, 그 만큼 가스 컨덕턴스가 저하하게 되는데, 본 실시예에서는 돌출부(73a)의 외주연(73c)이 외측 포커스 링(62)의 외주연에 대하여 대폭 내측으로 위치하며, 돌출부(73a)의 두께는 극히 얇게 설정되어 있다. 그 결과 밀봉 링(73)과 외측 포커스 링(62)간의 가스 컨덕턴스는 거의 저하되지 않고, 원활한 가스의 배기를 실현할 수 있다. 따라서, 종래의 에칭장치와 비교해도 에칭가스의 유량을 특별히 증가시킬 필요는 없다.
다음으로 본 발명자가 상기 에칭장치(1)를 사용하여 실제로 에칭했을 때의 데이터에 기초하여, 돌출부(73a)의 길이와 에칭율과의 관계에 대해서 설명한다. 제 12 도에 도시하는 바와 같이, 웨이퍼(W)의 상면과 상부 전극(21) 하면의 간극 (G2)을 15mm로 설정하고, 외측 포커스 링(62) 상면과 돌출부(73a) 하면의 최소 간극 (MG2)을 바꾸어 8인치 웨이퍼(W)를 에칭한 결과, 아래의 표3에 도시한 결과를 얻었다. 또한 이 때의 에칭 조건은 다음과 같다. 상부 전극(21)에 공급한 고주파 전력은 주파수 27.12MHz에서 2kW, 서셉터(6)에 공급한 고주파 전력은 주파수 800kHz에서 Vpp(플라즈마 전압)이 1.5kV이며, 또한 에칭가스는 C4F8/CO/Ar/O2= 15/15/2의 혼합가스를 55/6=(SCCM)의 유량으로 공급했다.
표 3
표 3으로부터 알 수 있는 바와 같이, 최소 간극(MG2)이 작을 수록 에칭율이 높다. 그러나, 최소 간극(MG2)을 6mm보다 작게 하면, 에칭의 균일성이 크게 저하되는 것도 확인되었다. 따라서, 에칭의 균일성을 고려하면, 최소 간극(MG2)의 바람직한 범위는 6 내지 10mm, 특히 8mm 전후가 가장 적합하다.
다음으로, 돌출부(73a)와 가스 컨덕턴스의 관계에 대하여 설명한다. 제 12도에 도시하는 다와 같이, 돌출부(73a)의 두께를 D2, 돌출부(73a)의 내주로부터 외측 포커스 링(62)의 외주까지의 길이를 L2로 했을 때, 웨이퍼 상면과 상부 전극(21)하면의 간극(G2)을 25mm, 최소 간극 MG2=8mm, L2=25mm로 설정하고, 처리실(2)의 내압을 45mTorr로 설정한 경우, 컨덕턴스는 D2=10mm인 경우에는 468(1/s)로, D2=3mm인 경우에는 625(1/s)로 되었다. 본 실시예와 같이, 돌출부(73a)를 형성하지 않고, 일본 특개소 제 62-69620 호의 공보에 개시된 바와 같이, 하면이 평평한 절연부재에 의해 플라즈마 가두기용의 좁은 간격을 형성한 경우, 가령 그 직경방향의 길이 (D2에 상당함)를 25mm로 하면, 이 때의 가스 컨덕턴스는 312이었다. 따라서, 플라즈마 확산을 억제하는 경우, 본 실시예와 같이, 밀봉 링(73)에 D2의 짧은 돌출부 (73a)를 형성하고, 이 돌출부(73a)에 의해 플라즈마를 가두는 것이 컨덕턴스가 양호하다는 것을 확인하였다.
또한, 실시예에서 밀봉 링(73)의 돌출부(73a)는 그 내외측이 수직으로 성형된 형상이었으나, 이 대신에 예를 들면, 제 13 도에 도시하는 바와 같은 형상의 돌출부(74)를 가지는 밀봉 링(75)을 사용해도 좋다. 이 돌출부(74)는 그 내주측은 수직으로 형성되어 있으나, 외주측은 테이퍼 형상으로 형성되어 있다. 이 밀봉 링(75)에 의하면, 밀봉 링(73)의 경우보다 더 돌출부(74)의 외측에 가스가 정체되지 않아 반응 생성물이 부착되기 어렵게 되어 있다.
또한, 외측 밀봉 링에 대해서도 실시예와 같이 그 외주를 수직으로 형성하지 않고, 제 13 도에 도시하는 바와 같이, 테이퍼 형상으로 형성된 외측 밀봉 링(76)을 사용해도 좋다. 이 경우에는 상기 실시예의 경우보다도, 배플판(43)끼리 이루는 각도가 둔각으로 되어 있으므로, 가스가 정체되기 어렵고, 반응 생성물 등이 부착되기 어렵게 되어 있다. 따라서, 제 13 도에 도시하는 밀봉 링(75)이나 외측 밀봉 링(76)을 사용하면, 처리실(2)내의 오염을 방지할 수 있다.
또한, 제 2 실시예에서는 실리콘 반도체 웨이퍼 표면의 실리콘 산화막(SiO2)을 에칭하는 과정을 실시하는 장치에 대하여 설명하였으나, 이에 제한되지 않고,제 2 실시예는 파종 절연막, 예를 들면, 실리콘 산화막(SiN), TEOS산화막, BPSG막의 에칭과정을 실시하는 장치에도 적용할 수 있다. 또한, 제 2 실시예는 성막 처리의 플라즈마 CVD 장치나 스퍼터링 장치 등의 다른 플라즈마 처리 장치에도 적용할 수 있다.
제 2 실시예에 의하면, 피처리 기판의 주변부의 플라즈마 밀도를 중심부보다 부당하게 높히지 않고, 플라즈마의 확산을 방지할 수 있다. 따라서, 높은 플라즈마 밀도하에서 미세하고 균일하게 높은 비율로 피처리 기판의 에칭을 실시할 수 있다.
특히, 상측 절연부재에서 가장 좁은 간격을 형성하는 부분의 내주연과 외주연을 제 2 환상체의 내주연과 외주연 사이의 대응하는 위치에 설정함으로써, 이와 같이 플라즈마의 확산 방지를 도모해도 컨덕턴스는 양호하며, 에칭가스 등의 처리가스의 유량을 억제함이 없이 플라즈마를 효율적으로 가둘 수 있다.
또한, 제 1 환상체의 외측 주변부와 제 2 환상체의 내측 주변부를 서로 합쳐, 상측 절연부재의 가장 좁은 간격을 형성하는 부분의 외주연이 제 2 환상체의 내주연과 외주연 사이의 대응하는 위치에 설정함으로써 보다 피처리 기판에 근접한 장소에서의 플라즈마 확산의 방지를 도모할 수 있으므로, 보다 높은 플라즈마 밀도하에서 피처리 기판에 대하여 에칭할 수 있다.
제 3 실시예
제 14 도는 본 발명의 제 2 발명에 따른 에칭장치(1)의 구성을 도시한 설명도이다. 또한, 제 14 도에 있어서, 제 1 도와 동일부분에 대해서는 제 1 도와 같은 부호를 적어 그 상세한 설명은 생략한다.
서셉터(6)상의 주변에는, 정전 척(11)을 둘러싸는 대략 환상으로 구성된 내측 포커스 링(61)이 설치되어 있다. 이 내측 포커스 링(61)은 도전성을 갖는 단결정 실리콘으로 구성되어 있다. 내측 포커스 링(61)의 내주측과 외주측의 상면에는, 제 15 도에 도시된 바와 같이, 각각 한단계 아래의 단차부(61a, 61b)가 형성되어 있어, 내주측의 단차부(61a)의 상면은 정전 척(11)의 상면과 동일면이 되도록 설정되어 이 단차부(61a)의 상면에는 정전 척(11)에 유지된 웨이퍼(W)의 주연부 하면이 설치된다. 이 내측 포커스 링(61)은, 웨이퍼(W) 주변(단부에 가까운 부분)의 에칭율의 균일성을 향상시키는 기능을 갖고 있다.
내측 포커스 링(61)의 외주에는 환상의 외측 포커스 링(62)이 설치되어 있다. 이 외측 포커스 링(62)은 절연성을 갖는 석영으로 구성되어 있다. 외측 포커스 링(62)의 내주부(62a)는 내측 포커스 링(61)의 단차부(61b)상에 설치되도록 되어 있다. 따라서, 내측 포커스 링(61)의 외주변과 외측 포커스 링(62)의 내주변은 각각의 단차부(61b)와 내주부(62a) 부분에서 겹쳐져 있다. 또, 외측 포커스 링 (62)의 외측 상연부(62b)는, 외측으로 볼록형상의 완곡 형상으로 형성되어 가스가 정체되지 않고 원활하게 배출되도록 구성되어 있다. 이 외측 포커스 링(62)은 후술한 밀봉 링(93)과 더불어, 플라즈마의 확산 방지 기능을 갖고 있다.
서셉터(6)의 주위에는, 제 15 도에 도시한 바와 같이, 석영제 절연 링(63), 불소계 수지제의 절연 링(64)을 통해서 도전성을 갖는 재질(예를 들면, 알루미늄 알루마이트)의 배플판(43)이 배합되어, 보다 더 이 배플판(43)의 내주부는 석영의지지체(65)에 대해서 볼트 등의 수단에 의해 고정되어 있다. 따라서, 서셉터(6)의 상하운동에 따라 이 배플판(43)도 상하운동하는 구성으로 되어 있다. 이 배플판 (43)에는 다수의 투과구멍(43a)이 형성되어 있어, 가스를 균일하게 배출하는 기능을 갖고 있다.
처리실(2)의 상부에는 알루미나로 구성된 절연 지지체(81), 알루미늄으로 구성된 환상의 냉각판(82)을 통해서 에칭가스나 그 외의 가스를 처리실(2)내로 도입하기 위한 확산부재(83)가 설치되어 있다. 이 냉각판(82)의 상부에는 냉매 순환로 (82a)가 형성되어 있어, 외부로부터 공급되는 냉각 매체가 순환하므로써, 후술한 상부 전극(21)을 소정 온도까지 냉각하는 기능을 갖고 있다.
확산 부자(83)는, 제 15 도에 도시한 바와 같이, 배출판(84)을 소정 간격으로 상하 여러층의 중공구조를 갖고 있으며, 또한, 배플판(84)에는 다수의 확산구멍 (84a)이 형성되어 있다. 이 확산부재(83)의 중앙에는 가스 도입관(23)이 설치되어, 밸브(24, 25), 유량 조절을 위한 질량 흐름 콘트롤러(26)를 통해서 처리가스 공급원(27)으로부터 에칭가스, 예컨대 CF4가스가 도입구관(23) 및 확산부재(83)의 배플판(84)의 확산구멍(84a)을 통해서 처리실(2)내로 도입되도록 되어 있다.
확산부재(83)의 하방에는 알루미늄으로 구성된 냉각판(90)이 설치되어, 이 냉각판(90)의 하면측에 서셉터(6)와 대향 상부 전극(21)이 냉각판(82)에 의해서 지지되고 있다. 이 상부 전극(21)은 도전성을 갖는 단결정 실리콘으로 구성되어, 볼트(92)에 의해 냉각판(90, 82)에 고착되어 도통되고 있다. 또, 이 상부 전극(21)및 냉각판(90)은, 확산부재(83)에 의해서 도입된 가스를 서셉터(6)상의 웨이퍼(W)에 대하여 균일하게 토출시키기 위해 각각 다수의 토출구(90a, 91a)를 갖고 있다.
상부 전극(21)의 하측 주변부에는 볼트(92)를 덮도록 석영으로 구성된 밀봉 링(93)이 배치되어 있다. 이 밀봉 링(93)은 환상의 수평부(93a)와, 수평부(93a)에 수직한 수직부(93b)로 이루어져, 이 수직부(93b)가 절연 지지부재(81)에, 예를 들면, 볼트 등에 의해서 고착됨으로써 부착된다. 이 밀봉 링(93)의 내부에는 밀봉 링(93)과 대략 서로 유사한 형상을 가지며, 알루미늄제 박판으로 구성된 수평부 (94a)와, 수직부(94b)로 구성되는 전도부재(94)가 기밀하게 매설되어 있다. 또, 밀봉 링(93)의 상단부와 처리용기(3)의 천정벽과의 사이에는 고주파 전원(47)으로부터의 전력이 냉각판(82)을 통하여 공급된다.
실시예 3에 따른 에칭장치(1)의 요부는 이상과 같이 구성되어 있다.
다음으로, 예를 들면, 실리콘 웨이퍼(W)의 산화막(SiO2)에 대해서 에칭 처리하는 경우의 처리방법 등에 관해서 설명한다. 또, 이들 공정은 예정된 프로그램에 의해 실행하도록 되어 있다.
우선, 게이트 밸브(51)가 개방된 후, 이송수단(53)에 의해 웨이퍼(W)가 처리실(2)내에 반입된다. 이 때 구동 모터(8)의 작동에 의해 서셉터(6)는 하강하여 웨이퍼(W) 수납 대기상태에 있다. 이송수단(53)에 의해서 웨이퍼(W)가 정전 척(11)상에 설치된 후, 이송수단(53)은 회피하고 게이트 밸브(51)는 폐쇄되어 구동 모터 (8)의 동작에 의해서 서셉터(6)는 소정의 처리 위치까지 상승한다. 다음으로 처리실(2)이 진공 형성 수단(41)에 의해서 감압되어 소정의 감압도가 된 후, 처리가스 공급원(27)으로부터 CF4가스가 공급되어 처리실(2)의 압력이, 예를 들면 10mTorr로 설정 유지된다.
그 후, 상부 전극(21)에 대하여 고주파 전원(47)으로부터 주파수가 27.12MHz인 고주파 전력이 공급되면, 상부 전극(21)과 서셉터(6) 사이에 플라즈마가 생긴다. 또 이 보다 약간의 시간 지연(1초 이하의 타이밍 지연)을 두고, 서셉터(6)에 대해서 고주파 전원(44)으로부터 주파수가 800kHz인 고주파 전력이 공급된다. 이와 같이 서셉터(5)에 대해서 타이밍이 지연되어 고주파 전력을 공급시키므로써, 과대한 전압에 의해 웨이퍼(W)가 손상을 받는 것을 방지할 수 있다.
이렇게 발생한 플라즈마에 의해서 처리실(2)내의 CF4가스가 해리되어, 그 때에 생기는 불소 래디컬이 서셉터(6)측에 인가된 바이어스 전압에 의해서 그 입사속도가 제어되면서 웨이퍼(W) 표면의 실리콘 산화막(SiO2)을 체크한다.
이 경우, 서셉터(6)에는, 웨이퍼(W)를 둘러싸도록 배치된 내측 포커스 링 (61)의 외주에 외측 포커스 링(62)이 설치되어, 외측 포커스 링(62)의 상방에는, 상부 전극(21)의 주변에 배치된 밀봉 링(93)이 위치하여, 양자에서 정전 척(11)의 상면과 상부 전극(41)의 하면과의 사이보다도 짧은 간극을 구성하고 있으므로 서셉터(6)와 상부 전극(21)간에 발생한 플라즈마의 확산은 억제되어, 플라즈마의 밀도는 높게 된다. 처리실(2)내의 압력이 10mTorr의 고진공도라 하더라도, 플라즈마의 확산을 효과적으로 억제할 수 있다. 웨이퍼(W)의 주위에는 내측 포커스 링(61)이배치되어 있으므로, 불소 래디컬은 효율좋게 웨이퍼(W)에 입사되어 웨이퍼(W) 표면의 실리콘 산화막(SiO2)의 에칭율은 한층 높게 되어 있다.
이와 같은 에칭 처리시, 처리실(2)내에는 실리콘 산화막(SiO2)을 CF계의 가스, 예컨대 CF4, CHF3등을 사용하여 에칭했을 때의 반응 생성물로서, 예컨대 카본계의 물질이 발생하며 카본계의 물질은 특히 플라즈마 확산을 억제하는 밀봉 링 (93)의 외측 부분의 표면에 부착하기 쉽게 되어 있다. 그러나, 본 실시예에서는 상술한 바와 같이 밀봉 링(93)의 내부에 전도부재(94)가 설치되어 있으므로 이와 같은 반응 생성물의 부착은 방지된다. 보다 상세히 설명하면, 상부 전극(21)과의 중첩부분(겹쳐만나는 부분)에 대응한 밀봉 링(93)의 하면측 표면(제 15 도중 L3으로 도시된 부분)은 이온의 입사에 의해 고온이 되고, 그 결과, 이 제 15 도중 L3으로 도시된 부분은 반응 생성물이 부착되기 어렵게 되어 있다.
이 때에 열은 밀봉 링(93)내에 설치되어 있는 전도부재(94)에 전달된다. 전도부재(94) 자체는 열전도성 우수하므로, 밀봉 링(93)의 L3으로 도시된 부분에 대응하는 부분 뿐만 아니라 전체가 즉시 고온이 된다(균등열 상태가 된다). 그 결과, 밀봉 링(93)의 전도부재(94)와 근접한 부분도 그 열에 의해서 가열된다. 즉, 제 15 도중 L3으로 표시된 부분 뿐만 아니라 수평부(93a)의 하면측 전면 및 수직부 (93b)의 외측 표면도 고온이 된다. 그 뿐만 아니라, 밀봉 링(93)의 반응 생성물이 부착하기 쉬운 영역은 고온이 되고, 반응 생성물의 부착이 억제된다. 또, 관계하는 작용효과를 담당하고 있는 전도부재(94)는 밀봉 링(93)에 기밀하게 설치되므로 전도부재(94) 자체는 플라즈마에 직접 노출되는 일이 없이 처리실(2)내를 오염하는 일도 없다.
전도 부재(94)의 기능을 고려하면, 전도부재(94)가 갖는 열은 가능한 한 밀봉 링(93)의 하면측 표면과 외측 표면에 전달될 필요가 있고, 그 때문에 전도부재 (94)는 밀봉 링(93)의 하면측 표면과 외측 표면에 근접하게 설치되어 있다. 이 점에 관하여, 밀봉 링(93)의 하면측 표면과 외측 표면에 열을 효율적으로 전달하기 위해 예를 들면, 제 16 도에 도시한 구성을 채택하는 것이 바람직하다. 또한, 제 16 도중 제 14 도 및 제 15 도와 동일한 부호로 표시한 부재는 제 14 도 및 제 15도와 동일한 부재이다.
즉, 제 16 도에 도시한 구성은 전도부재(94)의 이면측, 다시 말해 서셉터(6)와의 대항면이 되는 밀봉 링(93) 하면측과는 반대측에 진공층(96)을 형성하는 것으로 되어 있다. 이 구성에 의해 전도부재(94)가 갖는 열은 진공층(96)에 의해서 밀봉 링(93)의 상면측, 내측에 전달되는 것이 억제되고 그 만큼 효율적으로 밀봉 링 (93)의 하면측 표면, 외측 표면으로도 전달된다. 또한, 진공층(96)의 이면(96a)에, 예를 들면 거울면 처리 등의 반사 처리 등을 실시함으로써 전도부재(94)가 갖는 열의 상면측, 즉 내측으로의 복사도 억제되고, 그 만큼 더욱 더 밀봉 링(93)의 하면측 표면, 외측 표면으로의 열전도율을 향상시킬 수 있다.
상기 실시예에서는 상부 전극(21)의 주변부에 위치하는 밀봉 링(93)내에만, 전도부재(94)를 설치한 구성을 취했지만, 제 17 도에 도시한 바와 같이, 이 밀봉링(93)과 대응하는 서셉터(6)의 주변부에 위치하는 외측 포커스 링(62)의 내부에 전도부재(94)와 동일한 전도부재(97)를, 외측 포커스 링(62)의 상면측, 외측 부근에 기밀하게 설치해도 좋다. 이와 같이 함으로써, 이온이 입사되어 고온이 되는 제 17 도중의 M3으로 표시된 영역의 열을 전도부재(97)가 얻어 그것을 외측 포커스 링(62)의 상측 표면, 외측 표면에 전달한다. 이것에 의해, 그들 외측 포커스 링 (62)의 상측 표면, 즉 외측 표면은 고온이 되고, 반응 생성물의 부착이 억제된다. 물론, 이 경우에도, 제 16 도의 경우와 같이, 전도부재(97)의 이면에 진공층을 형성하거나, 진공층의 이면에 반사 처리를 실시함으로써 제 16 도의 경우도 마찬가지로 열전도율을 향상시키는 것이 가능하다.
또, 상기 실시예에 있어서, 실리콘 반도체 웨이퍼 표면의 실리콘 산화막 (SiO2)을 에칭하는 장치에 대해 설명하고 있지만, 그럼에도 불구하고, 제 3 실시예는 다른 에칭 프로세스를 실시하는 것에도 적용할 수 있다. 더우기, 피처리 기판으로서는, 웨이퍼 뿐만 아니라, LCD기판이라도 좋다. 또, 장치 구성에 대해서도, 상기 실시예에서는 에칭장치에 대해서 설명하고 있지만, 제 3 실시예는 그럼에도 불구하고 다른 플라즈마 처리 장치, 예를 들면 어싱 장치, 스퍼터링 장치, CVD 장치에도 적용할 수 있다.
제 3 실시예에 의하면, 별도의 히터를 설치할 필요 없이, 절연 부재 내부에 전도부재가 매설되어 있는 영역에 대응한 절연부재 표면을 고온으로 할 수 있다. 따라서, 이 영역의 표면에는 반응 생성물이 부착하기 어렵게 되고, 또 부착되어 있던 반응 생성물도 제거된다. 또, 처리실내를 오염하는 일은 없다. 그것도 처리실내의 플라즈마를 흐트러뜨리는 일은 없다. 특히, 진공층을 형성함으로써, 반응 생성물이 부착되기 쉬운 절연부재의 표면측(처리실내 분위기에 노출되는 측면)의 온도를 효율적으로 올릴 수 있다.
제 4 실시예
종래의 플라즈마 처리장치에 있어서, 플라즈마를 가두기 위해서 상부 전극과 하부 전극의 주면에 배치되는 절연부재는 통상 석영으로 형성되어 있고, 그 절연부재가 플라즈마에 드러나면 플라즈마에 의해 석영이 스퍼터링된다. 따라서, 스퍼터링된 석영은 처리용기내에 미스트(mist)가 되어 이 미스트가 피처리 기판의 표면 등에 부착하여 피처리 기판의 원료에 대한 제품의 비율을 저하시키는 문제가 있다.
또한, 절연부재의 스퍼터링이 진행되면 절연부재의 표면에 요철이 형성되고, 수평도의 정밀도가 나쁘게 된다. 이 때문에, 이상 방전이 발생하고, 플라즈마 상태가 불량하게 될 우려가 있다. 따라서, 안정된 플라즈마 처리를 할 수 없게 되는 문제점이 발생한다. 또한, 절연부재가 플라즈마에 의해서 스퍼터링되면, 절연부재의 수명이 단축되고, 교환 시간 및 교환 횟수가 많아지므로 장치의 가동시간이 저하된다는 문제점이 있다.
본 발명에 따른 실시예에 있어서, 플라즈마로 스퍼터링될 절연부재를 제어함으로써, 안정된 처리를 할 수 있는 플라즈마 장치를 제공한다. 즉, 피처리 기판을 처리용기내에 배치하고, 이 처리용기내에 플라즈마를 생성하여 피처리 기판을 처리하는 플라즈마 처리장치에 있어서, 처리용기내에 석영으로 구성된 부재가 설치되고, 이 부재의 표면에 석영보다도 플라즈마에 대해서 높은 내식성을 가지는 절연막을 도포한 플라즈마 처리장치를 제공한다. 이 경우, 절연막은 알루미나계의 세라믹로 이루어지는 재료가 바람직하고, 절연막은 폭발용사(爆發溶射)에 의해서 석영의 표면에 도포되는 것이 바람직하다.
본 발명의 실시예에 의하면, 처리용기내의 소정의 공간에 플라즈마를 가두기 위하여 고밀도의 플라즈마를 생성하도록 처리용기내에 배치한 석영으로 이루어진 절연부재가 플라즈마에 의한 스퍼터링으로부터 보호된다. 또한, 절연막을 폭발용사에 의해 절연부재의 표면에 도포함으로써, 절연부재와 절연막을 구성하는 재료가 강고하게 접합되어 플라즈마에 대한 내식성이 향상된다.
제 18 도는 제 4 실시예에 따른 에칭장치의 구성을 도시하는 설명도이다. 이 에칭장치는, 알루미늄 등으로 원통 형상으로 형성된 챔버(처리용기, 101)와, 이 챔버(101)내에 대향 배치된 상부 전극(제 1 전극, 102)과 하부 전극(제 2 전극, 103)을 주성분으로 구성되어 있다.
챔버(101)의 측벽부에는, 제 18 도에 도시한 바와 같이, 피처리 기판, 예를 들면 웨이퍼(W)를 반입 및 반출하기 위한 개구부(104, 105)가 형성되어 있고, 이들 각각의 개구부(104, 105)의 각각의 외측에는, 이들 각각의 개구부(104, 105)를 개폐하여 챔버(101)의 기밀을 가능하게 하는 게이트 밸브(106, 107)가 설치되어 있다.
챔버(101)내의 하부에는, 하부 전극(103)이 배치되어 있고, 이 하부 전극 (103)은, 이 하부 전극(103)을 승강시키는 승강장치(108)상에 부착되어 있다. 이승강장치(108)는, 예를 들면 유압 실린더 또는 볼 나사와 너트의 나사결합기구와, 이 기구를 회전구동하는 서보모터와의 조합기구 등으로 구성되어 있다. 이 승강장치(108)의 주위와 챔버(101)의 내벽 사이에는, 이 승강장치(108)의 외주를 덮는 벨로우즈(109)가 형성되어, 챔버(101)내에 발생한 플라즈마가 하부 전극(103)의 하방으로 유입하지 않도록 하고 있다.
하부 전극(103)은, 상부 전극(102)에 인가된 고주파 성분의 침입을 저지하는 하이펄스 필터(110)에 접속되어 있다. 이 하이펄스 필터(110)는, 예를 들면 800KHz의 주파수를 갖는 전압을 공급하는 고주파 전원(111)에 접속되어 있다. 또한, 이 하부 전극(103)의 상면에는 웨이퍼(W)를 반고정하는 정전 척이 설치되어 있다. 이 정전 척(112)은 도전성의 시트 형상의 전극판(112a)은 전극판(112a)과 웨이퍼(W)를 반유지하기 위한 쿨롱력을 발생시키는 직류 전원(113)에 전기적으로 접속되어 있다.
하부 전극(103)의 주위와 챔버(101)의 내벽면간에는, 환상의 배플판(114)이 배치되어 있다. 이 배플판(114)에는, 하부 전극(103)의 주위로부터 균일하게 배기를 허용하는 배기구(115)가 다수개 형성되어 있다. 이 배기구의 하방에는, 챔버 (101)내의 처리가스를 배기하는 배기관(116)이 설치되며, 이 배기관(116)은 진공펌프(117)에 접속되어 있다.
하부 전극(103)상의 웨이퍼(W)의 주위에는 웨이퍼(W)상의 플라즈마를 웨이퍼 (W)의 외측으로 넓힘으로써, 웨이퍼(W)의 주연부까지 균일한 플라즈마를 형성하는 환상의 탄화규소(SiC)재 포커스 링(118)이 배열되어 있다. 탄화규소(SiC)재 포커스 링(118)의 둘레에는 플라즈마를 웨이퍼(W)의 상방에 가둠으로써, 플라즈마의 밀도를 향상시키는 환상의 석영재 포커스 링(119)이 끼워지도록 내장되어 있다. 이 포커스 링(119)의 상면에는 플라즈마에 의한 침식으로부터 보호하기 위하여 석영보다 내플라즈마성이 높은 부재인 알루미나계 세라믹층(120)이 형성되어 있다.
한편, 챔버(101)내의 상부에는 하부 전극(103)에 대향하는 중공구조의 상부 전극(102)이 제공되어 있다. 이 상부 전극(102)에는 챔버(101)내에 소정의 처리가스를 공급하는 가스 공급관(121)이 접속되어 있다. 또한, 이 상부 전극(102)의 하측 부분에는 가스 확산구멍(122)이 다수개 형성되어 있다. 또, 상부 전극(102)은 하부 전극(103)에 인가되는 고주파 성분이 침입되는 것을 방지하는 저역통과필터 (123)에 접속되어 있다. 이 저역통과필터(123)는 고주파 전원(124)에 접속되어 있다. 이 고주파 전원(124)는 하부 전극(103)에 접속된 고주파 전원(111)보다도 높은 주파수, 예를 들면, 27.12 MHz의 주파수를 가진다.
상부 전극(102)의 주위에는 플라즈마를 웨이퍼(W)의 상방에 가두는 환상의 석영재 밀봉 링(125)이 설치되어 있다. 이 밀봉 링(125)은 상부 전극(102)의 외주부에 끼워져 있다. 이 밀봉 링(125)의 플라즈마와 접촉하는 측에는 플라즈마에 대해서 석영보다 내식성을 갖는 알루미나계 세라믹층(126)이 형성되어 있다. 상술한 석영제 밀봉 링(125)과 포커스 링(119)에 설치된 알루미나계 세라믹층(120, 126)은 석영 부재에 대하여 견고하게 결합시키기 위해 폭발용사에 의해 형성되어 있다.
여기에서 폭발용사에 대하여 설명한다. 이 폭발용사는, 제 9A 도에 도시한 바와 같이, 중공구조의 총열(127)을 이용한다. 이 총열(127)에 연소성이 높은 가스, 예를 들면 아세틸렌 가스와 산소 가스를 공급함과 더불어, 절연막의 재료, 예를 들면 알루미나계 세라믹tm의 분말재료를 공급한다. 이 총열(127)내에서, 아세틸렌 가스와 산소 가스로 이루어진 혼합 가스를 스파크 플러그(128)에 의해 불꽃을 일으켜 폭발시켜, 이 폭발에 의해서 생기는 고속 연소 에너지를 이용하여, 알루미나계 세라믹 분말재료를 석영제 밀봉 링(125)과 포커스 링(119)에 대하여 충돌시킨다. 이 충돌에 의해서, 알루미나계 세라믹 분말재료가 석영제 밀봉 링(125)과 포커스 링(119) 각각의 표면을 부식하여, 제 19B 도에 도시한 바와 같이, 석영과 알루미나계 세라믹과의 혼합층(129)이 형성되어 이 혼합층(129)의 표면상에 알루미나계 세라믹층(120, 126)이 형성된다.
이와 같이, 석영과 알루미나계 세라믹과의 혼합층(129)상에 알루미나계 세라믹층(120, 126)이 형성되어 있으므로, 이 알루미나계 세라믹층(120, 126)과 석영제밀봉 링(125)과 더불어 포커스 링(119)과의 접합력이 견고하게 되어 알루미나계 세라믹층(120, 126)의 플라즈마에 대한 내식성이 향상된다.
아세틸렌 가스와 산소 가스로 이루어진 혼합 가스의 폭발때에, 이 혼합 가스의 온도는, 2500℃ 이상, 예를 들면 약 3300℃로 상승하여, 이 혼합 가스가 연소하여 이 연소 가스는 음속의 약 10배의 속도로 총구를 향한다. 이 연소 기스에 의해서 알루미나계 세라믹의 분말재료는 반용융 상태가 되고, 음속의 약 2배 속도로 석영제 밀봉 링(125)과 포커스 링(119)의 표면에 충돌하여, 이들 석영제 밀봉 링 (125)과 포커스 링(119) 각각의 표면에 두께, 예를 들면 수 10㎛ 내지 수 100㎛의 알루미나계 세라믹층(120, 126)이 형성된다.
이 알루미나계 세라믹층(120, 126)은 층 형성 후, 층표면을 연마에 의한 평활처리를 실행하는 것이 바람직하다. 알루미나계 세라믹층(120, 126)의 두께는 최종적으로 플라즈마에 대한 내식성을 고려하여 10 내지 400㎛로 하며, 기공율은 미립자를 강력 제어하기 위해 2% 이하로 형성하는 것이 바람직하다. 또한, 알루미나계 세라믹층(120, 126)중의 알루미나의 순도는 99.5% 이상이 바람직하며, 이 때의 비커스 경도는, 플라즈마에 대한 내식성을 고려하여 900 이상으로 하는 것이 바람직하다. 알루미나계 세라믹층(120, 126)을 연마처리하는 경우에는, 부생성물의 부착의 곤란성이나 부착물 제거의 용이성을 고려하면, 연마면의 표면 거칠기가 2㎛ 이하로 형성되는 것이 바람직하다. 또한, 알루미나계 세라믹층을 절연부재의 일부로 형성하는 경우는 이 절연부재 표면에 마스크를 설치하여 이 마스크의 외측에서 분사한다.
계속해서 상기 구성을 갖는 에칭장치의 동작을 제 18 도에 기하여 설명한다. 미리, 게이트 밸브(106, 107)를 개방하여, 이 게이트 밸브(106, 107)에 로드 로크실(도시하지 않음)로부터 웨이퍼(W)를 통하여 이 웨이퍼(W)를 하부 전극(103)상에 설치한다. 이 후, 게이트 밸브(106, 107)를 폐쇄시킨다. 계속해서, 가스 공급관(121)을 통해서 처리가스를 공급하며, 이 처리가스는 중공구조의 상부 전극 (102)내로 유동하여 이 상부 전극(102)의 하측부에 설치된 가스 확산구멍(122)으로 부터 균일하게 처리실내로 확산된다.
이 때, 상부 전극(102)에 고주파 전원(124)으로부터 주파수 27.12 MHz의 고주파 전압을 인가하고, 계속해서 이것으로부터 소정시간 후에, 예를 들면 1초 이하의 타이밍을 갖고 하부 전극(103)에 고주파 전원(111)으로부터 800kHz의 주파수의 전압을 인가하고, 상부 전극(102)과 하부 전극(103)간에 플라즈마를 발생시킨다. 이 플라즈마의 발생에 의해 정전 척(112)상에 웨이퍼(W)는 견고하게 흡착 유지된다.
상기 플라즈마는, 상부 전극(102) 주위의 환상의 석영제 밀봉 링(125)과 하부 전극(103) 주위의 환상의 석영제 포커스 링(119)간에 가두어져 고밀도를 이룬다. 이 고밀도 플라즈마로 웨이퍼(W)의 에칭 처리를 수행한다. 이 때, 석영제 밀봉 링(125)과 포커스 링(119)은 고밀도 플라즈마에 의한 침식으로 인해 알루미나계 세라믹층(120, 136)에 의해 보호된다. 또한, 처리 가스는 웨이퍼(W)의 주위로부터 배플판(114)의 배기구(115)를 통해서 배기관(116)으로 흐른다.
이와 같은 에칭장치에 의하면, 환상의 석영제 밀봉 링(125)과 포커스 링 (119)에 의해 플라즈마가 상부 전극(102)과 하부 전극(103) 사이에 가두어져 고밀도의 플라즈마를 생성할 수 있어 높은 에칭율로 웨이퍼를 에칭할 수 있다. 그리고, 밀봉 링(125)과 포커스 링(119)에 알루미나계 세라믹층(120, 126)을 각각 설치하므로써, 이들 밀봉 링(125)과 포커스 링(119)에 의한 침식으로부터 보호된다. 이것에 의해 밀봉 링(125)과 포커스 링(119)의 사용기간을 길게 한다. 더 나아가, 플라즈마에 의한 침식으로부터 보호되므로써, 챔버(101)내에 발생하는 미립자가 감소하고, 그에 따라 챔버(101)내에 발생하는 플라즈마가 안정화하므로 에칭 처리되는 웨이퍼의 비율이 향상된다.
계속해서, 제 4 실시예의 다른 예를 제 20 도를 이용하여 설명한다. 또한,제 20 도에 있어서, 제 18 도와 동일한 부분에는 제 18 도와 같은 부호를 붙여서 그 상세한 설명은 생략한다.
이 예에서는, 제 20 도에 도시한 바와 같이 제 18 도의 장치의 챔버(101)에 석영제 착탈가능한 원통(130)을 설치하고 있다. 이 원통(130)의 내주부에는 알루미나계 세라믹층(131)이 형성되어 있다. 이와 같이, 상부 전극(102)과 하부 전극 (103)의 주위에 석영제 원통(130)을 설치하므로써, 상기 실시예와 비교하여, 보다 이들 상부 전극(102)과 하부 전극(103)간에 플라즈마를 가두어 둘 수 있기 때문에, 상기 실시예에 비교하여, 한층 고밀도의 플라즈마가 얻어진다. 이 때, 석영제 원 통(130)은 알루미나계 세라믹층(131)에 의해서 플라즈마에 의한 스퍼터링으로부터 보호된다. 또한, 석영제 원통(130)은 챔버(101)내의 벽면에 플라즈마 생성시 발생하여 미립자의 원인이 되는 반응 생성물이 부착되는 것을 억제하고, 또한, 이 석영제 원통(130)에 반응 생성물이 부착하여도, 이 석영제 원통(130)은 착탈가능하게 구성되어 있으므로써, 이 석영제 원통(130)을 변경하여 용이하게 유지할 수 있다.
또한, 상술한 제 2 실시예에서는, 플라즈마 처리장치로써 에칭징치를 이용하여 설명하고 있지만, 그럼에도 불구하고, 제 4 실시예는, 플라즈마에 의해서 피처리체를 처리하는 장치, 예를 들면 스퍼터링 장치, CVD 장치 등에 적용할 수도 있다. 또한, 상술한 2개의 실시예에서는, 알루미나계 세라믹층을 밀봉 링(125)과 포커스 링(119)의 쌍방에 설치하고 있지만, 어느 한쪽에 설치해도 좋다. 또한, 상술한 제 2 실시예에서는, 밀봉 링(125)과 포커스 링(119)에 있어서, 플라즈마에 노출되는 부분에만 알루미나계 세라믹층이 형성되어 있었지만, 플라즈마의 주변 유입등에 대응하기 위해 이외의 부분에도 알루미나계 세라믹층을 형성해도 좋다. 또한, 상술한 2개의 실시예에서는, 에칭징치에서 상부 전극(102)과 하부 전극(103)의 쌍방에 고주파 전원이 접속되어 있지만, 그럼에도 불구하고 제 4 실시예는 어느 한쪽의 전극만으로 고주파 전원이 접속된 장치에도 적용하여 동일한 효과를 얻을 수 있다. 또한, 제 4 실시예는 플라즈마의 발생에 전계를 이용하지않는 마이크로파에 의한 것이나, TCP(Transformer Coupled Plasma) 등의 유도방식에 의한 것 등, 플라즈마 분위기에 절연부재가 존재하는 구성을 갖는 장치이면, 어떤 장치에 적용하여도 같은 효과를 얻을 수 있다.
제 4 실시예에 의하면, 처리용기내의 소정의 장소에 플라즈마를 가두도록 설치된 석영으로 구성된 절연부재가 플라즈마에 의해 직접 스퍼터링되는 것을 알루미나계 세라믹층에 의해 감소시킬 수 있다. 이 때문에, 절연부재의 수명이 늘어 교환 시간 및 교환 횟수가 감소하므로, 장치의 가동 시간의 향상을 도모할 수 있다. 또한, 절연부재의 플라즈마에 의한 스퍼터링을 감소시킬 수 있으므로, 처리용기내에 미립자의 원인이 되는 석영의 미스트의 발생을 억제하고, 더불어, 플라즈마 상태를 안정화시킬 수 있어 플라즈마 처리된 피처리 기판의 비율을 향상시킬 수 있다.
제 1 도는 본 발명의 제 1 실시예에 따른 에칭장치의 구성을 도시하는 설명도.
제 2 도는 제 1 도에 도시하는 에칭장치의 상측 절연부재와 하측 절연부재의 위치 관계를 도시하는 요부 확대도.
제 3 도는 제 1 도에 도시하는 에칭장치에 적용할 수 있는 상측 절연부재의 다른 형태를 도시하는 요부 확대도.
제 4 도는 제 1 도에 도시하는 에칭장치의 상측 절연부재의 일부에 플라즈마 관찰용 창을 형성한 구성을 도시하는 상측 절연부재의 요부 확대도.
제 5 도는 제 4 도에 도시하는 상측 절연부재의 사시도.
제 6 도는 제 1 도에 도시하는 에칭장치의 상측 절연부재의 하면에 플라즈마 관찰용 절결부를 형성한 구성을 도시하는 상측 절연부재의 요부 확대도.
제 7 도는 제 6 도에 도시하는 상측 절연부재의 사시도.
제 8 도는 제 1 도에 도시하는 에칭장치에 적용할 수 있는 하면이 평탄한 사면형상의 상측 절연부재의 형태를 도시하는 요부 확대도.
제 9 도는 제 1 도에 도시하는 에칭장치에 적용할 수 있는 원통형상의 상측 절연부재의 형태를 도시하는 요부 학대도.
제 10 도는 본 발명의 제 1 발명의 제 2 실시예에 따른 에칭장치의 구성을 도시하는 설명도.
제 11 도는 제 10 도에 도시하는 에칭장치의 밀봉 링과 외측 포커스 링과의 위치관계를 도시하는 요부 확대도.
제 12 도는 제 10 도에 도시하는 에칭장치의 밀봉 링과 외측 포커스 링과의 거리 관계를 도시하는 설명도.
제 13 도는 본 발명에 이용할 수 있는 밀봉 링과 외측 포커스 링의 다른 형상의 예를 도시하는 설명도.
제 14 도는 본 발명의 제 2 발명에 따른 에칭장치의 구성을 도시하는 설명도.
제 15 도는 제 14 도에 도시하는 에칭장치의 밀봉 링 부근의 외측확대도.
제 16 도는 제 14 도에 도시하는 에칭장치의 전도부재의 이면측에 진공층을 형성한 모양을 도시하는 설명도.
제 17 도는 제 14 도에 도시하는 에칭장치의 외측 포커스 링의 내부에 전도부재를 기밀하게 봉입한 모양을 도시하는 설명도.
제 18 도는 본 발명의 제 4 실시예에 따른 에칭장치의 구성을 도시하는 설명도.
제 19A 도는 폭발용사(metallizing)에 의해 알루미나계 세라믹층을 석영부재로 형성하는 방법을 설명하기 위한 도면.
제 19B 도는 폭발 용사에 의해 석영부재상에 형성된 알루미나계 세라믹층을도시하는 단면도.
제 20 도는 본 발명의 제 4 실시예에 따른 에칭장치의 다른 구성을 도시하는 설명도.
* 도면의 주요부분에 대한 부호의 설명 *
1 : 에칭장치 2 : 처리실
3 : 처리용기 6 : 서셉터
8 : 구동 모터 21 : 상부 전극
27 : 처리 가스 공급원 31 : 상측 절연부재
44 : 고주파 전원 53 : 이송수단
61 : 포커스 링 72 : 확산부재
75 : 밀봉 링 93 : 밀봉 링
104, 105 : 개구부
Claims (15)
- 감압가능한 처리실내에 상부 전극 및 하부 전극을 대향 배치하고, 고주파 전력의 공급에 의해서 상기 상부 전극과 하부 전극간에 플라즈마를 발생시켜, 상기 하부 전극상에 설치한 피처리 기판에 대한 처리를 수행하는 플라즈마 처리장치에 있어서,상기 상부 전극의 주위에는 상측 절연부재가 설치되고, 상기 상측 절연부재의 외측 하단부는 상기 하부 전극의 외주보다도 외측에 위치하며, 상기 상측 절연부재의 외측 하단부는 상기 피처리 기판의 상면과 거의 동일하거나 그 보다도 하방에 위치하며, 상기 상측 절연부재와 하부 전극간의 가장 좁은 간격이 상기 상부 전극과 하부 전극 사이의 간격보다 좁게 설정된 플라즈마 처리장치.
- 제 1 항에 있어서,상기 하부 전극의 주위에는 하측 절연부재가 설치되고, 상기 상측 절연부재의 외측 하단부는 상기 하측 절연부재의 외주보다도 외측에 위치하며, 이 상측 절연부재의 외측 하단부는, 상기 피처리 기판의 상면과 거의 동일하거나 그것보다도 하방에 위치하여, 상기 상측 절연부재와 하측 절연부재 사이의 가장 좁은 간격이 상기 상부 전극과 하부 전극간의 간격보다 좁게 설정된 플라즈마 처리장치.
- 제 2 항에 있어서,상기 하측 절연부재는 하방으로 경사진 상면 외주연부를 갖는 플라즈마 처리장치.
- 제 3 항에 있어서,상기 상측 절연부재는 하방으로 경사진 하면 외주연부를 갖는 플라즈마 처리장치.
- 제 1 항에 있어서,상기 상측 절연부재는 플라즈마 감시용 창을 구비하고 있는 플라즈마 처리장치.
- 제 1 항에 있어서,상기 상측 절연부재는 하면에 플라즈마 감시용 절결부를 구비하는 플라즈마 처리장치.
- 제 1 항에 있어서,상기 상측 절연부재의 하면은 단차가 없는 연속면으로 형성되어 있는 플라즈마 처리장치.
- 제 1 항에 있어서,상기 하부 전극의 주변에는 접지 전극이 제공되어 있는 플라즈마 처리장치.
- 제 1 항에 있어서,상기 하측 절연부재는 피처리 기판으로의 플라즈마 입사 효율을 높이기 위한 포커스 링인 플라즈마 처리장치.
- 감압가능한 처리실내에 상부 전극 및 하부 전극을 대향 배치하고, 고주파 전력의 공급에 의해서 상기 상부 전극과 하부 전극간에 플라즈마를 발생시켜, 상기 하부 전극상에 설치한 피처리 기판에 대한 처리를 수행하는 플라즈마 처리장치에 있어서,상기 상부 전극의 주변에는 상측 절연부재가 설치되고, 상기 하부 전극의 주변에는 반도체 재료로 이루어진 제 1 환상체와, 상기 제 1 환상체의 외주에 위치하는 절연재료로 이루어진 제 2 환상체가 배치되고, 상기 상측 절연부재의 내주부로부터 하방으로 연장하는 상기 상측 절연부재의 연장부와 상기 제 2 환상체간의 좁은 간격이, 상기 상부 전극과 하부 전극과의 사이의 간격보다도 좁게 설정되어, 상기 상측 절연부재의 내주연이 상기 제 2 환상체의 내주연과 외주연간의 위치에 대응하도록 배열된 플라즈마 처리장치.
- 제 10 항에 있어서,상기 제 1 및 제 2 환상체는 피처리 기판으로의 플라즈마 입사 효율을 높이기 위한 포커스 링인 플라즈마 처리장치.
- 제 10 항에 있어서,상기 제 1 및 제 2 환상체는 서로 부분적으로 중첩되어 중첩 영역을 형성하고, 상기 연장부는 상기 중첩 영역에 대면하는 하부면을 갖는 플라즈마 처리장치.
- 감압가능한 처리실내에 상부 전극 및 하부 전극을 대향 배치하고, 고주파 전력의 공급에 의해서 상기 상부 전극과 하부 전극간에 플라즈마를 발생시켜, 상기 하부 전극상에 설치한 피처리 기판에 대한 처리를 수행하는 플라즈마 처리장치에 있어서,상기 상부 전극 또는 하부 전극중 적어도 한쪽의 주변부에 위치하는 절연부재의 내부에 고 열전도성을 갖는 전도부재가 매설된 플라즈마 처리장치.
- 제 13 항에 있어서,상기 절연부재는 상부 전극의 주변부에 배치되고 상기 전도부재의 상측에 진공층이 형성되어 있는 플라즈마 처리장치.
- 제 13 항에 있어서,상기 전도부재는 알루미늄, 다결정 실리콘 및 붕소 질화물로 구성된 그룹으로부터 선택된 재료로 형성되는 플라즈마 처리장치.
Applications Claiming Priority (8)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
JP07166866A JP3121524B2 (ja) | 1995-06-07 | 1995-06-07 | エッチング装置 |
JP95-166866 | 1995-06-07 | ||
JP95-168067 | 1995-06-08 | ||
JP16806795 | 1995-06-08 | ||
JP7169209A JPH08339895A (ja) | 1995-06-12 | 1995-06-12 | プラズマ処理装置 |
JP95-169209 | 1995-06-12 | ||
JP17137095A JP3162955B2 (ja) | 1995-06-13 | 1995-06-13 | プラズマ処理装置 |
JP95-171370 | 1995-06-13 |
Publications (2)
Publication Number | Publication Date |
---|---|
KR970003610A KR970003610A (ko) | 1997-01-28 |
KR100345420B1 true KR100345420B1 (ko) | 2002-11-21 |
Family
ID=27474095
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
KR1019960020284A KR100345420B1 (ko) | 1995-06-07 | 1996-06-07 | 플라즈마처리장치 |
Country Status (3)
Country | Link |
---|---|
US (1) | US5919332A (ko) |
KR (1) | KR100345420B1 (ko) |
TW (2) | TW434745B (ko) |
Cited By (6)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100699681B1 (ko) | 2005-12-28 | 2007-03-23 | 동부일렉트로닉스 주식회사 | 반도체 제조 장치 |
WO2008088668A1 (en) * | 2007-01-17 | 2008-07-24 | Lam Research Corporation | Apparatuses for adjusting electrode gap in capacitively-coupled rf plasma reactor |
KR101455789B1 (ko) * | 2013-08-06 | 2014-11-03 | 주식회사 알지비하이텍 | 기판처리장치의 서셉터 및 그를 가지는 기판처리장치 |
KR20160002544A (ko) * | 2014-06-30 | 2016-01-08 | 세메스 주식회사 | 기판 처리 장치 |
KR101591128B1 (ko) * | 2008-12-19 | 2016-02-02 | 램 리써치 코포레이션 | 조정가능 갭 플라즈마 챔버에서의 듀얼 컨파인먼트 및 초고압을 위한 방법 및 장치 |
KR20200109718A (ko) * | 2019-03-14 | 2020-09-23 | 세메스 주식회사 | 기판 처리 장치 및 이의 에지 링 |
Families Citing this family (250)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US5900103A (en) * | 1994-04-20 | 1999-05-04 | Tokyo Electron Limited | Plasma treatment method and apparatus |
US6902683B1 (en) * | 1996-03-01 | 2005-06-07 | Hitachi, Ltd. | Plasma processing apparatus and plasma processing method |
US6149730A (en) * | 1997-10-08 | 2000-11-21 | Nec Corporation | Apparatus for forming films of a semiconductor device, a method of manufacturing a semiconductor device, and a method of forming thin films of a semiconductor |
KR100258984B1 (ko) * | 1997-12-24 | 2000-08-01 | 윤종용 | 건식 식각 장치 |
JPH11193470A (ja) * | 1997-12-26 | 1999-07-21 | Canon Inc | 堆積膜形成装置及び堆積膜形成方法 |
US6395128B2 (en) | 1998-02-19 | 2002-05-28 | Micron Technology, Inc. | RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition |
US6112697A (en) | 1998-02-19 | 2000-09-05 | Micron Technology, Inc. | RF powered plasma enhanced chemical vapor deposition reactor and methods |
US6506687B1 (en) * | 1998-06-24 | 2003-01-14 | Hitachi, Ltd. | Dry etching device and method of producing semiconductor devices |
JP4151749B2 (ja) * | 1998-07-16 | 2008-09-17 | 東京エレクトロンAt株式会社 | プラズマ処理装置およびその方法 |
JP4213790B2 (ja) * | 1998-08-26 | 2009-01-21 | コバレントマテリアル株式会社 | 耐プラズマ部材およびそれを用いたプラズマ処理装置 |
US6176198B1 (en) * | 1998-11-02 | 2001-01-23 | Applied Materials, Inc. | Apparatus and method for depositing low K dielectric materials |
KR100790652B1 (ko) * | 1998-12-28 | 2007-12-31 | 동경 엘렉트론 에이티 주식회사 | 플라즈마 처리 방법 |
US6176930B1 (en) * | 1999-03-04 | 2001-01-23 | Applied Materials, Inc. | Apparatus and method for controlling a flow of process material to a deposition chamber |
US6700089B1 (en) * | 1999-03-30 | 2004-03-02 | Tokyo Electron Limited | Plasma processing device, its maintenance method, and its installation method |
US6173673B1 (en) * | 1999-03-31 | 2001-01-16 | Tokyo Electron Limited | Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber |
US20050061445A1 (en) * | 1999-05-06 | 2005-03-24 | Tokyo Electron Limited | Plasma processing apparatus |
US6257168B1 (en) * | 1999-06-30 | 2001-07-10 | Lam Research Corporation | Elevated stationary uniformity ring design |
US6972071B1 (en) * | 1999-07-13 | 2005-12-06 | Nordson Corporation | High-speed symmetrical plasma treatment system |
JP2001057359A (ja) * | 1999-08-17 | 2001-02-27 | Tokyo Electron Ltd | プラズマ処理装置 |
US6451157B1 (en) * | 1999-09-23 | 2002-09-17 | Lam Research Corporation | Gas distribution apparatus for semiconductor processing |
JP3366301B2 (ja) * | 1999-11-10 | 2003-01-14 | 日本電気株式会社 | プラズマcvd装置 |
KR100583134B1 (ko) * | 1999-11-16 | 2006-05-24 | 동경 엘렉트론 주식회사 | 기판의 처리장치 및 처리방법 |
US6268293B1 (en) * | 1999-11-18 | 2001-07-31 | International Business Machines Corporation | Method of forming wires on an integrated circuit chip |
KR20010062209A (ko) * | 1999-12-10 | 2001-07-07 | 히가시 데쓰로 | 고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치 |
US6363882B1 (en) * | 1999-12-30 | 2002-04-02 | Lam Research Corporation | Lower electrode design for higher uniformity |
KR100635975B1 (ko) * | 2000-02-14 | 2006-10-20 | 동경 엘렉트론 주식회사 | 플라즈마 처리 장치 및 방법과, 플라즈마 처리 장치용 링 부재 |
US6444040B1 (en) * | 2000-05-05 | 2002-09-03 | Applied Materials Inc. | Gas distribution plate |
US6553932B2 (en) * | 2000-05-12 | 2003-04-29 | Applied Materials, Inc. | Reduction of plasma edge effect on plasma enhanced CVD processes |
US6562684B1 (en) | 2000-08-30 | 2003-05-13 | Micron Technology, Inc. | Methods of forming dielectric materials |
TWI303084B (en) * | 2000-09-08 | 2008-11-11 | Tokyo Electron Ltd | Shower head structure, film forming method, and gas processing apparauts |
JP4602532B2 (ja) * | 2000-11-10 | 2010-12-22 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2002198355A (ja) * | 2000-12-26 | 2002-07-12 | Tokyo Electron Ltd | プラズマ処理装置 |
WO2002052628A1 (fr) * | 2000-12-26 | 2002-07-04 | Tokyo Electron Limited | Procede et appareil de traitement au plasma |
KR100526007B1 (ko) * | 2000-12-28 | 2005-11-08 | 엘지.필립스 엘시디 주식회사 | 플라즈마 에칭장치 |
WO2002061179A1 (en) * | 2001-01-19 | 2002-08-08 | Tokyo Electron Limited | Method and apparatus for gas injection system with minimum particulate contamination |
JP4676074B2 (ja) * | 2001-02-15 | 2011-04-27 | 東京エレクトロン株式会社 | フォーカスリング及びプラズマ処理装置 |
US20030213561A1 (en) * | 2001-03-12 | 2003-11-20 | Selwyn Gary S. | Atmospheric pressure plasma processing reactor |
JP2002270598A (ja) * | 2001-03-13 | 2002-09-20 | Tokyo Electron Ltd | プラズマ処理装置 |
US6554954B2 (en) * | 2001-04-03 | 2003-04-29 | Applied Materials Inc. | Conductive collar surrounding semiconductor workpiece in plasma chamber |
KR100433008B1 (ko) * | 2001-04-18 | 2004-05-31 | (주)소슬 | 플라즈마 식각 장치 |
US6537928B1 (en) * | 2002-02-19 | 2003-03-25 | Asm Japan K.K. | Apparatus and method for forming low dielectric constant film |
JP2002343787A (ja) * | 2001-05-17 | 2002-11-29 | Research Institute Of Innovative Technology For The Earth | プラズマ処理装置およびそのクリーニング方法 |
JP2002367971A (ja) * | 2001-06-13 | 2002-12-20 | Mitsubishi Electric Corp | プラズマ処理装置およびこれを用いた処理方法並びに半導体装置の製造方法 |
JP2002373929A (ja) * | 2001-06-14 | 2002-12-26 | Tokyo Electron Ltd | ウエハ支持体 |
KR100491140B1 (ko) * | 2001-06-15 | 2005-05-27 | 주식회사 셈테크놀러지 | 대기압 플라즈마를 이용한 표면 세정방법 및 장치 |
TWI246873B (en) * | 2001-07-10 | 2006-01-01 | Tokyo Electron Ltd | Plasma processing device |
KR100431660B1 (ko) * | 2001-07-24 | 2004-05-17 | 삼성전자주식회사 | 반도체 장치의 제조를 위한 건식 식각 장치 |
JP2003100713A (ja) * | 2001-09-26 | 2003-04-04 | Kawasaki Microelectronics Kk | プラズマ電極用カバー |
JP4209774B2 (ja) * | 2001-09-28 | 2009-01-14 | 住友精密工業株式会社 | シリコン基板のエッチング方法およびエッチング装置 |
US6916398B2 (en) | 2001-10-26 | 2005-07-12 | Applied Materials, Inc. | Gas delivery apparatus and method for atomic layer deposition |
EP1310466A3 (en) | 2001-11-13 | 2003-10-22 | Tosoh Corporation | Quartz glass parts, ceramic parts and process of producing those |
US6926774B2 (en) * | 2001-11-21 | 2005-08-09 | Applied Materials, Inc. | Piezoelectric vaporizer |
US20040129218A1 (en) * | 2001-12-07 | 2004-07-08 | Toshiki Takahashi | Exhaust ring mechanism and plasma processing apparatus using the same |
US20030106646A1 (en) * | 2001-12-11 | 2003-06-12 | Applied Materials, Inc. | Plasma chamber insert ring |
WO2003060973A1 (fr) * | 2002-01-10 | 2003-07-24 | Tokyo Electron Limited | Dispositif de traitement |
US20040250763A1 (en) * | 2002-01-11 | 2004-12-16 | Ovshinsky Stanford R. | Fountain cathode for large area plasma deposition |
CN101818334B (zh) * | 2002-01-17 | 2012-12-12 | 松德沃技术公司 | Ald装置和方法 |
AU2003228226A1 (en) * | 2002-03-12 | 2003-09-29 | Tokyo Electron Limited | An improved substrate holder for plasma processing |
US7013834B2 (en) * | 2002-04-19 | 2006-03-21 | Nordson Corporation | Plasma treatment system |
JP3821065B2 (ja) * | 2002-07-04 | 2006-09-13 | 東京エレクトロン株式会社 | プラズマ処理装置、上部電極カバー、及び上部電極カバー用窓部 |
KR100460143B1 (ko) * | 2002-08-02 | 2004-12-03 | 삼성전자주식회사 | 반도체 제조설비용 프로세스 챔버 |
WO2004025199A1 (ja) * | 2002-09-10 | 2004-03-25 | Tokyo Electron Limited | 処理装置,および,処理装置のメンテナンス方法 |
US6837966B2 (en) * | 2002-09-30 | 2005-01-04 | Tokyo Electron Limeted | Method and apparatus for an improved baffle plate in a plasma processing system |
US7166200B2 (en) * | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate in a plasma processing system |
US7166166B2 (en) | 2002-09-30 | 2007-01-23 | Tokyo Electron Limited | Method and apparatus for an improved baffle plate in a plasma processing system |
US7137353B2 (en) * | 2002-09-30 | 2006-11-21 | Tokyo Electron Limited | Method and apparatus for an improved deposition shield in a plasma processing system |
US7147749B2 (en) * | 2002-09-30 | 2006-12-12 | Tokyo Electron Limited | Method and apparatus for an improved upper electrode plate with deposition shield in a plasma processing system |
US7204912B2 (en) | 2002-09-30 | 2007-04-17 | Tokyo Electron Limited | Method and apparatus for an improved bellows shield in a plasma processing system |
US6798519B2 (en) | 2002-09-30 | 2004-09-28 | Tokyo Electron Limited | Method and apparatus for an improved optical window deposition shield in a plasma processing system |
TW200423195A (en) | 2002-11-28 | 2004-11-01 | Tokyo Electron Ltd | Internal member of a plasma processing vessel |
US7582186B2 (en) * | 2002-12-20 | 2009-09-01 | Tokyo Electron Limited | Method and apparatus for an improved focus ring in a plasma processing system |
CN101996843B (zh) * | 2003-01-07 | 2013-05-01 | 东京毅力科创株式会社 | 等离子体处理装置及聚焦环 |
US6844260B2 (en) * | 2003-01-30 | 2005-01-18 | Micron Technology, Inc. | Insitu post atomic layer deposition destruction of active species |
JP4286025B2 (ja) * | 2003-03-03 | 2009-06-24 | 川崎マイクロエレクトロニクス株式会社 | 石英治具の再生方法、再生使用方法および半導体装置の製造方法 |
JP4336124B2 (ja) | 2003-03-10 | 2009-09-30 | 東京エレクトロン株式会社 | プラズマ処理方法及びプラズマ処理装置 |
US8382942B2 (en) * | 2003-03-21 | 2013-02-26 | Tokyo Electron Limited | Method and apparatus for reducing substrate backside deposition during processing |
US7291566B2 (en) | 2003-03-31 | 2007-11-06 | Tokyo Electron Limited | Barrier layer for a processing element and a method of forming the same |
WO2004095530A2 (en) | 2003-03-31 | 2004-11-04 | Tokyo Electron Limited | Adjoining adjacent coatings on an element |
KR100585089B1 (ko) * | 2003-05-27 | 2006-05-30 | 삼성전자주식회사 | 웨이퍼 가장자리를 처리하기 위한 플라즈마 처리장치,플라즈마 처리장치용 절연판, 플라즈마 처리장치용하부전극, 웨이퍼 가장자리의 플라즈마 처리방법 및반도체소자의 제조방법 |
US7461614B2 (en) * | 2003-11-12 | 2008-12-09 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
US20050230350A1 (en) * | 2004-02-26 | 2005-10-20 | Applied Materials, Inc. | In-situ dry clean chamber for front end of line fabrication |
US7712434B2 (en) * | 2004-04-30 | 2010-05-11 | Lam Research Corporation | Apparatus including showerhead electrode and heater for plasma processing |
US7988816B2 (en) * | 2004-06-21 | 2011-08-02 | Tokyo Electron Limited | Plasma processing apparatus and method |
US7951262B2 (en) | 2004-06-21 | 2011-05-31 | Tokyo Electron Limited | Plasma processing apparatus and method |
US8349086B2 (en) | 2004-07-30 | 2013-01-08 | United Technologies Corporation | Non-stick masking fixtures and methods of preparing same |
US20060108069A1 (en) * | 2004-11-19 | 2006-05-25 | Samsung Electronics Co., Ltd. | Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers |
US7552521B2 (en) | 2004-12-08 | 2009-06-30 | Tokyo Electron Limited | Method and apparatus for improved baffle plate |
US7601242B2 (en) | 2005-01-11 | 2009-10-13 | Tokyo Electron Limited | Plasma processing system and baffle assembly for use in plasma processing system |
US20060172542A1 (en) * | 2005-01-28 | 2006-08-03 | Applied Materials, Inc. | Method and apparatus to confine plasma and to enhance flow conductance |
JP4704088B2 (ja) | 2005-03-31 | 2011-06-15 | 東京エレクトロン株式会社 | プラズマ処理装置 |
JP2006303309A (ja) * | 2005-04-22 | 2006-11-02 | Hitachi High-Technologies Corp | プラズマ処理装置 |
KR100737715B1 (ko) * | 2005-05-26 | 2007-07-10 | 주식회사 에이디피엔지니어링 | 플라즈마 처리장치 |
US20060288934A1 (en) * | 2005-06-22 | 2006-12-28 | Tokyo Electron Limited | Electrode assembly and plasma processing apparatus |
US9520276B2 (en) | 2005-06-22 | 2016-12-13 | Tokyo Electron Limited | Electrode assembly and plasma processing apparatus |
WO2006137541A1 (ja) * | 2005-06-23 | 2006-12-28 | Tokyo Electron Limited | 半導体処理装置用の構成部材及びその製造方法 |
CN1909760B (zh) * | 2005-08-05 | 2010-07-21 | 中微半导体设备(上海)有限公司 | 真空反应室及其处理方法 |
JP4107316B2 (ja) * | 2005-09-02 | 2008-06-25 | 株式会社日立プラントテクノロジー | 基板貼合装置 |
JP4865352B2 (ja) * | 2006-02-17 | 2012-02-01 | 三菱重工業株式会社 | プラズマ処理装置及びプラズマ処理方法 |
US8157953B2 (en) | 2006-03-29 | 2012-04-17 | Tokyo Electron Limited | Plasma processing apparatus |
US8187415B2 (en) * | 2006-04-21 | 2012-05-29 | Applied Materials, Inc. | Plasma etch reactor with distribution of etch gases across a wafer surface and a polymer oxidizing gas in an independently fed center gas zone |
US20070249173A1 (en) * | 2006-04-21 | 2007-10-25 | Applied Materials, Inc. | Plasma etch process using etch uniformity control by using compositionally independent gas feed |
US7540971B2 (en) * | 2006-04-28 | 2009-06-02 | Applied Materials, Inc. | Plasma etch process using polymerizing etch gases across a wafer surface and additional polymer managing or controlling gases in independently fed gas zones with time and spatial modulation of gas content |
US20070254483A1 (en) * | 2006-04-28 | 2007-11-01 | Applied Materials, Inc. | Plasma etch process using polymerizing etch gases and an inert diluent gas in independent gas injection zones to improve etch profile or etch rate uniformity |
US8231799B2 (en) * | 2006-04-28 | 2012-07-31 | Applied Materials, Inc. | Plasma reactor apparatus with multiple gas injection zones having time-changing separate configurable gas compositions for each zone |
US7541292B2 (en) * | 2006-04-28 | 2009-06-02 | Applied Materials, Inc. | Plasma etch process with separately fed carbon-lean and carbon-rich polymerizing etch gases in independent inner and outer gas injection zones |
US20080073032A1 (en) * | 2006-08-10 | 2008-03-27 | Akira Koshiishi | Stage for plasma processing apparatus, and plasma processing apparatus |
KR100763532B1 (ko) * | 2006-08-17 | 2007-10-05 | 삼성전자주식회사 | 웨이퍼 지지장치, 웨이퍼 노광 장치 및 웨이퍼 지지방법 |
KR100955144B1 (ko) * | 2006-10-03 | 2010-04-28 | 파나소닉 주식회사 | 플라즈마 도핑 방법 및 장치 |
US8398778B2 (en) * | 2007-01-26 | 2013-03-19 | Lam Research Corporation | Control of bevel etch film profile using plasma exclusion zone rings larger than the wafer diameter |
JP2008187062A (ja) * | 2007-01-31 | 2008-08-14 | Hitachi High-Technologies Corp | プラズマ処理装置 |
JP5317424B2 (ja) | 2007-03-28 | 2013-10-16 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20080289766A1 (en) * | 2007-05-22 | 2008-11-27 | Samsung Austin Semiconductor Lp | Hot edge ring apparatus and method for increased etch rate uniformity and reduced polymer buildup |
US20090151870A1 (en) * | 2007-12-14 | 2009-06-18 | Tokyo Electron Limited | Silicon carbide focus ring for plasma etching system |
TWI501704B (zh) * | 2008-02-08 | 2015-09-21 | Lam Res Corp | 於電漿處理系統中用以改變面積比之方法與裝置 |
JP2009200184A (ja) * | 2008-02-20 | 2009-09-03 | Tokyo Electron Ltd | プラズマ処理装置及びプラズマ処理装置のバッフル板 |
JP4731580B2 (ja) * | 2008-03-27 | 2011-07-27 | 東京エレクトロン株式会社 | 成膜方法および成膜装置 |
JP5102706B2 (ja) * | 2008-06-23 | 2012-12-19 | 東京エレクトロン株式会社 | バッフル板及び基板処理装置 |
US8382941B2 (en) | 2008-09-15 | 2013-02-26 | Micron Technology, Inc. | Plasma reactor with adjustable plasma electrodes and associated methods |
CN101740298B (zh) * | 2008-11-07 | 2012-07-25 | 东京毅力科创株式会社 | 等离子体处理装置及其构成部件 |
US8627783B2 (en) * | 2008-12-19 | 2014-01-14 | Lam Research Corporation | Combined wafer area pressure control and plasma confinement assembly |
US8540844B2 (en) | 2008-12-19 | 2013-09-24 | Lam Research Corporation | Plasma confinement structures in plasma processing systems |
DE112010000717B4 (de) * | 2009-01-09 | 2014-02-20 | Ulvac, Inc. | Plasmaverarbeitungsvorrichtung |
JP5643528B2 (ja) | 2009-03-30 | 2014-12-17 | 東京エレクトロン株式会社 | 基板処理装置 |
JP5501807B2 (ja) * | 2009-03-31 | 2014-05-28 | 東京エレクトロン株式会社 | 処理装置 |
WO2011013746A1 (ja) * | 2009-07-31 | 2011-02-03 | 株式会社アルバック | 成膜装置 |
JP5792174B2 (ja) * | 2009-09-28 | 2015-10-07 | ラム リサーチ コーポレーションLam Research Corporation | 一体化閉じ込めリング装置 |
JP5445252B2 (ja) * | 2010-03-16 | 2014-03-19 | 東京エレクトロン株式会社 | 成膜装置 |
JP5567392B2 (ja) * | 2010-05-25 | 2014-08-06 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US20110315319A1 (en) * | 2010-06-25 | 2011-12-29 | Applied Materials, Inc. | Pre-clean chamber with reduced ion current |
JP5597463B2 (ja) * | 2010-07-05 | 2014-10-01 | 東京エレクトロン株式会社 | 基板処理装置及び基板処理方法 |
US9443753B2 (en) * | 2010-07-30 | 2016-09-13 | Applied Materials, Inc. | Apparatus for controlling the flow of a gas in a process chamber |
JP5759718B2 (ja) * | 2010-12-27 | 2015-08-05 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US8999856B2 (en) | 2011-03-14 | 2015-04-07 | Applied Materials, Inc. | Methods for etch of sin films |
US8802545B2 (en) * | 2011-03-14 | 2014-08-12 | Plasma-Therm Llc | Method and apparatus for plasma dicing a semi-conductor wafer |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9129778B2 (en) | 2011-03-18 | 2015-09-08 | Lam Research Corporation | Fluid distribution members and/or assemblies |
US20130153149A1 (en) * | 2011-12-20 | 2013-06-20 | Intermolecular, Inc. | Substrate Processing Tool with Tunable Fluid Flow |
CN103377979B (zh) * | 2012-04-30 | 2016-06-08 | 细美事有限公司 | 调节板和具有该调节板的用于处理基板的装置 |
JP2013258270A (ja) * | 2012-06-12 | 2013-12-26 | Tokyo Electron Ltd | 基板載置台及び基板処理装置 |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
DE102012111896A1 (de) * | 2012-12-06 | 2014-06-12 | Aixtron Se | CVD-Reaktor mit einem mechanischen Reinigungselement zum Reinigen eines Gasauslassrings |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
GB201309583D0 (en) * | 2013-05-29 | 2013-07-10 | Spts Technologies Ltd | Apparatus for processing a semiconductor workpiece |
CN103397308A (zh) * | 2013-08-01 | 2013-11-20 | 光垒光电科技(上海)有限公司 | 用于mocvd设备的喷淋头 |
CN103436856A (zh) * | 2013-08-01 | 2013-12-11 | 光垒光电科技(上海)有限公司 | 反应腔室 |
US9903020B2 (en) | 2014-03-31 | 2018-02-27 | Applied Materials, Inc. | Generation of compact alumina passivation layers on aluminum plasma equipment components |
JP6456601B2 (ja) * | 2014-05-07 | 2019-01-23 | 東京エレクトロン株式会社 | プラズマ成膜装置 |
JP6544902B2 (ja) * | 2014-09-18 | 2019-07-17 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
JP6423706B2 (ja) * | 2014-12-16 | 2018-11-14 | 東京エレクトロン株式会社 | プラズマ処理装置 |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9881805B2 (en) | 2015-03-02 | 2018-01-30 | Applied Materials, Inc. | Silicon selective removal |
CN107548515B (zh) * | 2015-04-24 | 2019-10-15 | 应用材料公司 | 包含流动隔离环的处理套组 |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
KR101950897B1 (ko) * | 2015-09-25 | 2019-02-21 | 스미토모 오사카 세멘토 가부시키가이샤 | 정전 척 장치 |
US10358721B2 (en) * | 2015-10-22 | 2019-07-23 | Asm Ip Holding B.V. | Semiconductor manufacturing system including deposition apparatus |
KR20170073757A (ko) * | 2015-12-18 | 2017-06-29 | 삼성전자주식회사 | 플라즈마 처리 장치용 상부 전극 및 이를 포함하는 플라즈마 처리 장치 |
CN109156074B (zh) * | 2016-03-03 | 2021-12-28 | 核心技术株式会社 | 等离子体处理装置及等离子处理用反应容器的结构 |
US9716005B1 (en) | 2016-03-18 | 2017-07-25 | Applied Materials, Inc. | Plasma poisoning to enable selective deposition |
US20170335459A1 (en) * | 2016-05-17 | 2017-11-23 | Applied Materials, Inc. | Non-shadow frame plasma processing chamber |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10879051B2 (en) * | 2016-11-29 | 2020-12-29 | Taiwan Semiconductor Manufacturing Co., Ltd. | Method for controlling exposure region in bevel etching process for semiconductor fabrication |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
JP6750534B2 (ja) * | 2017-02-24 | 2020-09-02 | 東京エレクトロン株式会社 | 成膜装置 |
US10600624B2 (en) | 2017-03-10 | 2020-03-24 | Applied Materials, Inc. | System and method for substrate processing chambers |
US10312076B2 (en) | 2017-03-10 | 2019-06-04 | Applied Materials, Inc. | Application of bottom purge to increase clean efficiency |
US10636628B2 (en) | 2017-09-11 | 2020-04-28 | Applied Materials, Inc. | Method for cleaning a process chamber |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US10354844B2 (en) | 2017-05-12 | 2019-07-16 | Asm Ip Holding B.V. | Insulator structure for avoiding abnormal electrical discharge and plasma concentration |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
JP6895813B2 (ja) * | 2017-06-08 | 2021-06-30 | 東京エレクトロン株式会社 | プラズマ溶射ヘッド、プラズマ溶射装置及びプラズマ溶射方法 |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
US10128086B1 (en) | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10283324B1 (en) | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10872804B2 (en) * | 2017-11-03 | 2020-12-22 | Asm Ip Holding B.V. | Apparatus and methods for isolating a reaction chamber from a loading chamber resulting in reduced contamination |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI766433B (zh) | 2018-02-28 | 2022-06-01 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
JP7101628B2 (ja) * | 2019-02-04 | 2022-07-15 | 東京エレクトロン株式会社 | プラズマ処理装置および電極構造体 |
US20200365375A1 (en) * | 2019-05-15 | 2020-11-19 | Applied Materials, Inc. | Stray plasma prevention apparatus for substrate process chamber |
JP7357513B2 (ja) * | 2019-11-12 | 2023-10-06 | 東京エレクトロン株式会社 | プラズマ処理装置 |
CN113035679B (zh) * | 2019-12-24 | 2023-09-29 | 中微半导体设备(上海)股份有限公司 | 一种等离子体处理装置 |
US12100576B2 (en) * | 2020-04-30 | 2024-09-24 | Applied Materials, Inc. | Metal oxide preclean chamber with improved selectivity and flow conductance |
US11242600B2 (en) * | 2020-06-17 | 2022-02-08 | Applied Materials, Inc. | High temperature face plate for deposition application |
KR102585287B1 (ko) * | 2020-09-08 | 2023-10-05 | 세메스 주식회사 | 기판 처리 장치 및 이의 커버링 |
US20220328293A1 (en) * | 2021-04-13 | 2022-10-13 | Applied Materials, Inc. | Isolator for processing chambers |
Family Cites Families (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPS6269620A (ja) * | 1985-09-24 | 1987-03-30 | Anelva Corp | プラズマ処理装置 |
KR100264445B1 (ko) * | 1993-10-04 | 2000-11-01 | 히가시 데쓰로 | 플라즈마처리장치 |
-
1996
- 1996-06-04 TW TW085106679A patent/TW434745B/zh not_active IP Right Cessation
- 1996-06-04 TW TW086105477A patent/TW323387B/zh not_active IP Right Cessation
- 1996-06-06 US US08/659,387 patent/US5919332A/en not_active Expired - Lifetime
- 1996-06-07 KR KR1019960020284A patent/KR100345420B1/ko not_active IP Right Cessation
Cited By (10)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
KR100699681B1 (ko) | 2005-12-28 | 2007-03-23 | 동부일렉트로닉스 주식회사 | 반도체 제조 장치 |
WO2008088668A1 (en) * | 2007-01-17 | 2008-07-24 | Lam Research Corporation | Apparatuses for adjusting electrode gap in capacitively-coupled rf plasma reactor |
US7732728B2 (en) | 2007-01-17 | 2010-06-08 | Lam Research Corporation | Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor |
US8080760B2 (en) | 2007-01-17 | 2011-12-20 | Lam Research Corporation | Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor |
KR101591128B1 (ko) * | 2008-12-19 | 2016-02-02 | 램 리써치 코포레이션 | 조정가능 갭 플라즈마 챔버에서의 듀얼 컨파인먼트 및 초고압을 위한 방법 및 장치 |
KR101455789B1 (ko) * | 2013-08-06 | 2014-11-03 | 주식회사 알지비하이텍 | 기판처리장치의 서셉터 및 그를 가지는 기판처리장치 |
KR20160002544A (ko) * | 2014-06-30 | 2016-01-08 | 세메스 주식회사 | 기판 처리 장치 |
KR102240923B1 (ko) | 2014-06-30 | 2021-04-15 | 세메스 주식회사 | 기판 처리 장치 |
KR20200109718A (ko) * | 2019-03-14 | 2020-09-23 | 세메스 주식회사 | 기판 처리 장치 및 이의 에지 링 |
KR102175087B1 (ko) * | 2019-03-14 | 2020-11-05 | 세메스 주식회사 | 기판 처리 장치 및 이의 에지 링 |
Also Published As
Publication number | Publication date |
---|---|
US5919332A (en) | 1999-07-06 |
TW323387B (ko) | 1997-12-21 |
TW434745B (en) | 2001-05-16 |
KR970003610A (ko) | 1997-01-28 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
KR100345420B1 (ko) | 플라즈마처리장치 | |
RU2237314C2 (ru) | Камера плазменной обработки и способ обработки полупроводниковой подложки в такой камере | |
KR100624273B1 (ko) | 플라즈마 처리 장치 | |
JP5165039B2 (ja) | プラズマ処理システムにおける改良された堆積シールド | |
KR101141488B1 (ko) | 처리중의 기판이면(裏面) 증착 감소방법 및 장치 | |
US6554954B2 (en) | Conductive collar surrounding semiconductor workpiece in plasma chamber | |
JP3192370B2 (ja) | プラズマ処理装置 | |
US8124539B2 (en) | Plasma processing apparatus, focus ring, and susceptor | |
US7811428B2 (en) | Method and apparatus for an improved optical window deposition shield in a plasma processing system | |
JP4589115B2 (ja) | プラズマ処理システムにおける、堆積シールドを有する改良された上部電極板のための装置 | |
JP4627659B2 (ja) | プラズマ処理システムにおける改良されたベローズシールドのための装置 | |
JPH08339895A (ja) | プラズマ処理装置 | |
US20050041238A1 (en) | Method of using a sensor gas to determine erosion level of consumable system components | |
KR20040063825A (ko) | 플라즈마 처리 장치 및 포커스 링 | |
KR20040038875A (ko) | 유전체 식각 방법 | |
KR102396430B1 (ko) | 기판 처리 장치 및 기판 처리 방법 | |
JP3162955B2 (ja) | プラズマ処理装置 | |
US8342121B2 (en) | Plasma processing apparatus | |
JPWO2002058125A1 (ja) | プラズマ処理装置およびプラズマ処理方法 | |
JP3113796B2 (ja) | プラズマ処理装置 | |
JPH10284475A (ja) | 処理方法 | |
JP2021534587A (ja) | 処理チャンバ用コーティング材料 | |
JP6298293B2 (ja) | 基板処理装置、シャッタ機構およびプラズマ処理装置 | |
JP4602528B2 (ja) | プラズマ処理装置 | |
KR20090103711A (ko) | 플라즈마 처리장치, 챔버내 부품 및 챔버내 부품의 수명 검출 방법 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A201 | Request for examination | ||
E701 | Decision to grant or registration of patent right | ||
GRNT | Written decision to grant | ||
FPAY | Annual fee payment |
Payment date: 20130621 Year of fee payment: 12 |
|
FPAY | Annual fee payment |
Payment date: 20140701 Year of fee payment: 13 |
|
FPAY | Annual fee payment |
Payment date: 20150618 Year of fee payment: 14 |
|
EXPY | Expiration of term |