Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/2024724.2024856acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor

Published: 05 June 2011 Publication History

Abstract

The growing importance of post-silicon validation in ensuring functional correctness of high-end designs has increased the need for synergy between the pre-silicon verification and post-silicon validation. This synergy starts with a common verification plan. It continues with common verification goals and shared tools and techniques. This paper describes our experience in improving this synergy in the pre- and post-silicon verification of IBM's POWER7 processor chip and by leveraging pre-silicon methodologies and techniques in the post-silicon validation of the chip.

References

[1]
M. Abramovici, P. Bradley, K. Dwarakanath, P. Levin, G. Memmi, and D. Miller. A reconfigurable design-for-debug infrastructure for SoCs. In Proceedings of the 43rd Design Automation Conference, pages 7--12, July 2006.
[2]
A. Adir, S. Copty, S. Landa, A. Nahir, G. Shurek, and A. Ziv. A unified methodology for pre-silicon verification and post-silicon validation. In Proceedings of the 2011 Design, Automation and Test in Europe Conference, pages 1590--1595, 2011.
[3]
A. Adir, A. Nahir, A. Ziv, C. Meissner, and J. Schumann. Reaching coverage closure in post-silicon validation. In Proceedings of the 6th Haifa Verification Conference, LNCS 6504, pages 60--74. Springer-Verlag, 2010.
[4]
M. L. Behm, J. M. Ludden, Y. Lichtenstein, M. Rimon, and M. Vinov. Industrial experience with test generation languages for processor verification. In Proceedings of the 41st Design Automation Conference, pages 36--40, 2004.
[5]
J. Bergeron. Writing Testbenches: Functional Verification of HDL Models. Kluwer Academic Publishers, January 2000.
[6]
E. Buchnik and S. Ur. Compacting regression-suites on-the-fly. In Proceedings of the 4th Asia Pacific Software Engineering Conference, pages 385--394, December 1997.
[7]
H. B. Carter and S. G. Hemmady. Metric Driven Design Verification: An Engineer's and Executive's Guide to First Pass Success. Springer, 2007.
[8]
K. Chen, S. Malik, and P. Patra. Runtime validation of memory ordering using constraint graph checking. In Proceedings of the 14th International Symposium on High-Performance Computer Architecture, pages 415--426, 2008.
[9]
J. Darringer et al. EDA in IBM: past, present, and future. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 19(12):1476--1497, December 2000.
[10]
S. Fine, S. Ur, and A. Ziv. A probabilistic regression suite for functional verification. In Proceedings of the 41st Design Automation Conference, pages 49--54, June 2004.
[11]
R. Kalla, B. Sinharoy, W. Starke, and M. Floyd. POWER7: IBM's next-generation server processor. IEEE Micro, 30(2):7--15, 2010.
[12]
A. Piziali. Functional Verification Coverage Measurement and Analysis. Springer, 2004.
[13]
H. G. Rotithor. Postsilicon validation methodology for microprocessors. IEEE Design & Test of Computers, 17(4):77--88, 2000.
[14]
K.-D. Schubert. POWER7: verification challenge of a multi-core processor. In ICCAD '09: Proceedings of the 2009 International Conference on Computer-Aided Design, pages 809--812, 2009.
[15]
I. Wagner and V. Bertacco. Reversi: Post-silicon validation system for modern microprocessors. In Proceedings of the IEEE International Conference on Computer Design, pages 307--314, 2008.
[16]
B. Wile, J. C. Goss, and W. Roesner. Comprehensive Functional Verification -- The Complete Industry Cycle. Elsevier, 2005.

Cited By

View all
  • (2023)Dynamic Refinement of Hardware Assertion Checkers2023 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE56975.2023.10137306(1-6)Online publication date: Apr-2023
  • (2018)Reusing Trace Buffers as Victim CachesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.282792826:9(1699-1712)Online publication date: Sep-2018
  • (2017)A Survey on Post-Silicon Functional Validation for Multicore ArchitecturesACM Computing Surveys10.1145/310761550:4(1-30)Online publication date: 25-Aug-2017
  • Show More Cited By

Index Terms

  1. Leveraging pre-silicon verification resources for the post-silicon validation of the IBM POWER7 processor

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    DAC '11: Proceedings of the 48th Design Automation Conference
    June 2011
    1055 pages
    ISBN:9781450306362
    DOI:10.1145/2024724

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 05 June 2011

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. coverage
    2. functional verification
    3. post-silicon validation
    4. stimuli generation

    Qualifiers

    • Research-article

    Conference

    DAC '11
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

    Upcoming Conference

    DAC '25
    62nd ACM/IEEE Design Automation Conference
    June 22 - 26, 2025
    San Francisco , CA , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)3
    • Downloads (Last 6 weeks)1
    Reflects downloads up to 19 Nov 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Dynamic Refinement of Hardware Assertion Checkers2023 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE56975.2023.10137306(1-6)Online publication date: Apr-2023
    • (2018)Reusing Trace Buffers as Victim CachesIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2018.282792826:9(1699-1712)Online publication date: Sep-2018
    • (2017)A Survey on Post-Silicon Functional Validation for Multicore ArchitecturesACM Computing Surveys10.1145/310761550:4(1-30)Online publication date: 25-Aug-2017
    • (2017)Emulation Infrastructure for the Evaluation of Hardware Assertions for Post-Silicon ValidationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2017.265856425:6(1866-1880)Online publication date: Jun-2017
    • (2017)Managing Trace Summaries to Minimize Stalls During Postsilicon ValidationIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2017.265760425:6(1881-1894)Online publication date: Jun-2017
    • (2016)Automated Selection of Assertions for Bit-Flip Detection During Post-Silicon ValidationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2016.253808735:12(2118-2130)Online publication date: 1-Nov-2016
    • (2016)Extending trace history through tapered summaries in post-silicon validation2016 21st Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASPDAC.2016.7428099(737-742)Online publication date: Jan-2016
    • (2015)A methodology for automated design of embedded bit-flips detectors in post-silicon validationProceedings of the 2015 Design, Automation & Test in Europe Conference & Exhibition10.5555/2755753.2755770(73-78)Online publication date: 9-Mar-2015
    • (2015)Emulation-based selection and assessment of assertion checkers for post-silicon validationProceedings of the 2015 33rd IEEE International Conference on Computer Design (ICCD)10.1109/ICCD.2015.7357083(46-53)Online publication date: 18-Oct-2015
    • (2015)A common platform for bridging pre- and post-silicon verification in mixed-signal designs2015 IEEE International Instrumentation and Measurement Technology Conference (I2MTC) Proceedings10.1109/I2MTC.2015.7151515(1584-1589)Online publication date: May-2015
    • Show More Cited By

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media