Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/279358.279364acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
Article
Free access

Performance characterization of a Quad Pentium Pro SMP using OLTP workloads

Published: 16 April 1998 Publication History

Abstract

Commercial applications are an important, yet often overlooked, workload with significantly different characteristics from technical workloads. The potential impact of these differences is that computers optimized for technical workloads may not provide good performance for commercial applications, and these applications may not fully exploit advances in processor design. To evaluate these issues, we use hardware counters to measure architectural features of a four-processor Pentium Pro-based server running a TPC-C-like workload on an Informix database. We examine the effectiveness of out-of-order execution, branch prediction, speculative execution, superscalar issue and retire, caching and multiprocessor scaling. We find that out-of-order execution, superscalar issue and retire, and branch prediction are not as effective for database workloads as they are for technical workloads, such as SPEC. We find that caches are effective at reducing processor traffic to memory; even larger caches would be helpful to satisfy more data requests. Multiprocessor scaling of this workload is good, but even modest bus utilization degrades application memory latency, limiting database throughput.

References

[1]
L. Barroso and K. Gharachorloo. "System design considerations for a commercial application environment," presented at the First Workshop on Computer Architecture Evaluation using Commercial Workloads (CAECW '98), in conjunction with the Fourth High Performance Computer Architecture Conference (HPCA-4), February 1998.
[2]
D. Bhandarkar and J. Ding. "Performance characterization of the Pentium Pro processor." In Proc. of HPCA-3, February, 1997.
[3]
R.P. Colwell and R. L. Steck. "A 0.6um BiCMOS processor with dynamic execution," In International Solid State Circuits Conference (ISSCC) Digest of Technical Papers, February 1995, pages 176-177.
[4]
D. Culler and J. P. Singh. Parallel Computer Architecture: A Hardware~Software Approach. Morgan Kaufmann Publishers, Inc., 1998.
[5]
Z. Cvetanovic and D. Bhandarkar. "Performance characterization of the alpha 21164 microprocessor using tp and spec workloads." In Proc. of HPCA-2, pages 270-280, February 1996.
[6]
Z. Cvetanovic and D. D. Donaldson. "AlphaServer 4100 performance characterization." Digital Technical Journal. 8(4):3-20, 1996,
[7]
J. Gray. The Benchmark Handbook for Database and Transaction Processing Systems. Morgan Kaufmann Publishers, Inc., 2nd edition, 1993.
[8]
L. Gwennap. "Intel's P6 uses decoupled superscalar design." Microprocessor Report, 9(2):9-15, 1995.
[9]
R.B. Hilgendorf and G. J. Heim. "Evaluating branch prediction methods for an $390 processor using traces from commercial application workloads," presented at CAECW '98, in conjunction with HPCA-4, February 1998.
[10]
lnformix OnLine Dynamic Server Administrator's Guide, Vol. 1 and Vol. 2., Informix Corporation.
[11]
Intel Corporation.Pentium Pro family developer's manual, volume 3: Operating system writer's manual. Intel Corporation, 1996, Order number 242692.
[12]
Intel ISV Performance Labs. "'Scaling," white paper, March 1998.
[13]
K. Kecton, et al. "Perlbrmance Characterization of the quad Pentium Pro SMP using OLTP workloads," extended version, UC Berkeley Computer Science Division Technical Report UCB//CSD-98- 1001, April 1998.
[14]
K. Keeton and D. A. Patterson. "The impact of hardware and software configuration on computer architecture performance evaluation," presented at CAECW '98, in conjunction with HPCA-4, February 1998.
[15]
A. Maynard, et al. "Contrasting characteristics and cache performance of technical and multi-user commercial workloads." In Proc. of the 6th Intl. Conference on Architectural Support for Programming Languages and Operating Systems (ASPLOS), pages 145-156, October 1994.
[16]
L. McVoy and C. Staelin. "lmbench: Portable tools for performance analysis." In Proc. of the USENIX 1996 Annual Technical Conference, January 1996.
[17]
G. Papadopoulos. "How I learned to stop worrying and love shared memory?" Keynote speech at HPCA-3, Feb., 1997.
[18]
G. Papadopoulos. "Mainstream parallelism: Taking sides on the smp/mpp/cluster debate." Seminar presented at UC Berkeley Computer Science Division, November 1995.
[19]
D. Papworth. "Tuning the Pentium Pro microarchitecture." IEEE Micro, pages 8-15, April, 1996.
[20]
D. A. Patterson and J. L. Hennessy. Computer Organization and Design: The Hardware/Software Interface. Morgan Kaufman Publishers, Inc., 1998, 2nd Edition.
[21]
S. E. Perl and R. L. Sites. "Studies of windows NT performance using dynamic execution traces," In Proc. of the Second USENIX Symposium on Operating Systems Design and Implementation, pages 169-184, 1996.
[22]
J. A. Rice. Mathematical statistics and data analysis. Duxbury Press, 1995.2nd edition.
[23]
T. Romer, et al. "Instrumentation and optimization of Win32/Intel executables using Etch," In Proc. of the USENIX Windows NT Workshop, pages 1-7, August 1997.
[24]
M. Rosenblum, et al. "The impact of architectural trends on operating system performance." In Proc. of the 15th A CM SOSP, pages 285-298, December 1995.
[25]
P. Stenstrom, et al. "Trends in shared memory multiprocessing." IEEE Computer, pages 44-50, December, 1997.
[26]
J. Torrellas, et al. "Characterizing the cache performance and synchronization behavior of a multiprocessing operating system." In Proceedings of the 5th ASPLOS, pages 162- 174, October 1992.
[27]
T. Tsuei, et al. "Database buffer size investigation for OLTP workloads." In Proc. of the A CM-SIGMOD Conference on Management of Data,. pages 112-122, May 1997.
[28]
S. Unlu. Personal communication, February 1998.
[29]
T. Yeh and Y. Patt. "'Two-level adaptive training branch prediction." In Proc. IEEE Micro-24, pages 51-61, November 1991.

Cited By

View all
  • (2019)RC-NVMIEEE Transactions on Computers10.1109/TC.2018.286836868:2(239-254)Online publication date: 1-Feb-2019
  • (2018)Blasting through the Front-End Bottleneck with ShotgunACM SIGPLAN Notices10.1145/3296957.317317853:2(30-42)Online publication date: 19-Mar-2018
  • (2018)Blasting through the Front-End Bottleneck with ShotgunProceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3173162.3173178(30-42)Online publication date: 19-Mar-2018
  • Show More Cited By

Index Terms

  1. Performance characterization of a Quad Pentium Pro SMP using OLTP workloads

        Recommendations

        Comments

        Please enable JavaScript to view thecomments powered by Disqus.

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        ISCA '98: Proceedings of the 25th annual international symposium on Computer architecture
        April 1998
        402 pages
        ISBN:0818684917
        • cover image ACM SIGARCH Computer Architecture News
          ACM SIGARCH Computer Architecture News  Volume 26, Issue 3
          Special Issue: Proceedings of the 25th annual international symposium on Computer architecture (ISCA '98)
          June 1998
          379 pages
          ISSN:0163-5964
          DOI:10.1145/279361
          Issue’s Table of Contents

        Sponsors

        Publisher

        IEEE Computer Society

        United States

        Publication History

        Published: 16 April 1998

        Permissions

        Request permissions for this article.

        Check for updates

        Qualifiers

        • Article

        Conference

        ISCA98
        Sponsor:
        ISCA98: International Symposium on Computer Architecture
        June 27 - July 2, 1998
        Barcelona, Spain

        Acceptance Rates

        Overall Acceptance Rate 543 of 3,203 submissions, 17%

        Upcoming Conference

        ISCA '25

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)108
        • Downloads (Last 6 weeks)23
        Reflects downloads up to 24 Sep 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2019)RC-NVMIEEE Transactions on Computers10.1109/TC.2018.286836868:2(239-254)Online publication date: 1-Feb-2019
        • (2018)Blasting through the Front-End Bottleneck with ShotgunACM SIGPLAN Notices10.1145/3296957.317317853:2(30-42)Online publication date: 19-Mar-2018
        • (2018)Blasting through the Front-End Bottleneck with ShotgunProceedings of the Twenty-Third International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3173162.3173178(30-42)Online publication date: 19-Mar-2018
        • (2016)Micro-architectural Analysis of In-memory OLTPProceedings of the 2016 International Conference on Management of Data10.1145/2882903.2882916(387-402)Online publication date: 26-Jun-2016
        • (2015)ConfluenceProceedings of the 48th International Symposium on Microarchitecture10.1145/2830772.2830785(166-177)Online publication date: 5-Dec-2015
        • (2013)SHIFTProceedings of the 46th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/2540708.2540732(272-283)Online publication date: 7-Dec-2013
        • (2013)OLTP in wonderlandProceedings of the Ninth International Workshop on Data Management on New Hardware10.1145/2485278.2485286(1-6)Online publication date: 24-Jun-2013
        • (2013)From A to EProceedings of the 16th International Conference on Extending Database Technology10.1145/2452376.2452380(17-28)Online publication date: 18-Mar-2013
        • (2012)Surveying the landscapeProceedings of the 20th International Conference on Advances in Geographic Information Systems10.1145/2424321.2424369(376-385)Online publication date: 6-Nov-2012
        • (2012)Quantifying the Mismatch between Emerging Scale-Out Applications and Modern ProcessorsACM Transactions on Computer Systems10.1145/2382553.238255730:4(1-24)Online publication date: 1-Nov-2012
        • Show More Cited By

        View Options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Get Access

        Login options

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media