Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1109/MICRO.2008.4771792acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
Article

Mini-rank: Adaptive DRAM architecture for improving memory power efficiency

Published: 08 November 2008 Publication History

Abstract

The widespread use of multicore processors has dramatically increased the demand on high memory bandwidth and large memory capacity. As DRAM subsystem designs stretch to meet the demand, memory power consumption is now approaching that of processors. However, the conventional DRAM architecture prevents any meaningful power and performance trade-offs for memory-intensive workloads. We propose a novel idea called mini-rank for DDRx (DDR/DDR2/DDR3) DRAMs, which uses a small bridge chip on each DRAM DIMM to break a conventional DRAM rank into multiple smaller mini-ranks so as to reduce the number of devices involved in a single memory access. The design dramatically reduces the memory power consumption with only a slight increase on the memory idle latency. It does not change the DDRx bus protocol and its configuration can be adapted for the best performance-power trade-offs. Our experimental results using four-core multiprogramming workloads show that using x32 mini-ranks reduces memory power by 27.0% with 2.8% performance penalty and using x16 mini-ranks reduces memory power by 44.1% with 7.4% performance penalty on average for memory-intensive workloads, respectively.

References

[1]
N. L. Binkert, R. G. Dreslinski, L. R. Hsu, K. T. Lim, A. G. Saidi, and S. K. Reinhardt. The m5 simulator: Modeling networked systems. IEEE Micro, 26(4):52-60, 2006.
[2]
V. Cuppu and B. Jacob. Concurrency, latency, or system overhead: Which has the largest impact on uniprocessor DRAM-system performance? In Proceedings of the 28th International Symposium on Computer Architecture, pages 62-71, 2001.
[3]
V. Delaluz, M. Kandemir, N. Vijaykrishnan, A. Sivasubramaniam, and M. J. Irwin. DRAM energy management using software and hardware directed power mode control. In Proceedings of the 7th International Symposium on High-Performance Computer Architecture, pages 159-170, 2001.
[4]
V. Delaluz, A. Sivasubramaniam, M. Kandemir, N. Vijaykrishnan, and M. J. Irwin. Scheduler-based DRAM energy management. In Proceedings of the 39th conference on Design automation, pages 697-702, 2002.
[5]
B. Diniz, D. Guedes, J. Wagner Meira, and R. Bianchini. Limiting the power consumption of main memory. In Proceedings of the 34th International Symposium on Computer Architecture, pages 290-301, 2007.
[6]
X. Fan, C. Ellis, and A. Lebeck. Memory controller policies for DRAM power management. In Proceedings of the 2001 International Symposium on Low Power Electronics and Design, pages 129-134, 2001.
[7]
X. Fan, W.-D. Weber, and L. A. Barroso. Power provisioning for a warehouse-sized computer. In Proceedings of the 34th International Symposium on Computer Architecture, pages 13- 23, 2007.
[8]
Q. S. Gao. The Chinese Remainder Theorem and the prime memory system. In Proceedings of the 20th International Symposium on Computer Architecture, pages 337-340, 1993.
[9]
M. Ghosh and H.-H. S. Lee. Smart Refresh: An Enhanced Memory Controller Design for Reducing Energy in Conventional and 3D Die-Stacked DRAMs. In Proceedings of the 40th International Symposium on Microarchitecture, Dec. 2007.
[10]
H. Huang, P. Pillai, and K. G. Shin. Design and implementation of power-aware virtual memory. In Proceedings of the USENIX Annual Technical Conference 2003 on USENIX Annual Technical Conference, pages 57-70, 2003.
[11]
H. Huang, K. G. Shin, C. Lefurgy, and T. Keller. Improving energy efficiency by making DRAM less randomly accessed. In Proceedings of the 2005 International Symposium on Low Power Electronics and Design, pages 393-398, 2005.
[12]
I. Hur and C. Lin. A comprehensive approach to dram power management. In Proceedings of the 13th International Symposium on High-Performance Computer Architecure, 2008.
[13]
A. R. Lebeck, X. Fan, H. Zeng, and C. Ellis. Power aware page allocation. In Proceedings of the Ninth International Conference on Architectural Support for Programming Languages and Operating Systems, pages 105-116, 2000.
[14]
X. Li, Z. Li, F. David, P. Zhou, Y. Zhou, S. Adve, and S. Kumar. Performance directed energy management for main memory and disks. In Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, pages 271-283, 2004.
[15]
J. Lin, H. Zheng, Z. Zhu, E. Gorbatov, H. David, and Z. Zhang. Software thermal management of DRAM memory for multicore systems. In Proceedings of the 2008 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, pages 337-348, 2008.
[16]
W. Lin, S. K. Reinhardt, and D. Burger. Reducing DRAM latencies with an integrated memory hierarchy design. In Proceedings of the Seventh International Symposium on High-Performance Computer Architecure, pages 301-312, 2001.
[17]
K. Luo, J. Gummaraju, and M. Franklin. Balancing though-put and fairness in SMT processors. In IEEE International Symposium on Performance Analysis of Systems and Software, 2001.
[18]
Micron Technology, Inc. DDR3 SDRAM System-Power Calculator. http://download.micron.com/downloads/misc/ddr3_ power_calc.xls.
[19]
Micron Technology, Inc. MT41J128M8BY-187E. http://download.micron.com/pdf/datasheets/dram/ddr3/1Gb% 20DDR3%20SDRAM.pdf.
[20]
Micron Technology, Inc. MT47H64M16HR-25E. http://download.micron.com/pdf/datasheets/dram/ddr2/ 1GbDDR2.pdf.
[21]
Micron Technology, Inc. TN-41-01: Calculating Memory System Power For DDR3. http://download.micron.com/pdf/ technotes/ddr3/TN41_01DDR3%20Power.pdf, Aug. 2007.
[22]
V. Pandey, W. Jiang, Y. Zhou, and R. Bianchini. DMA-Aware Memory Energy Management. In Proceedings of the 12th International Symposium on High-Performance Computer Architecture, pages 133-144, 2006.
[23]
S. Rixner, W. J. Dally, U. J. Kapasi, P. Mattson, and J. D. Owens. Memory access scheduling. In Proceedings of the 27th International Symposium on Computer Architecture, pages 128-138, June 2000.
[24]
T. Sherwood, E. Perelman, G. Hamerly, and B. Calder. Automatically characterizing large scale program behavior. In Proceedings of the Tenth International Conference on Architectural Support for Programming Languages and Operating Systems, pages 45-57, Oct. 2002.
[25]
A. Snavely, D. M. Tullsen, and G. Voelker. Symbiotic job-scheduling with priorities for a simultaneous multithreading processor. In Proceedings of the 2002 ACM SIGMETRICS International Conference on Measurement and Modeling of Computer Systems, pages 66-76, 2002.
[26]
Synopsys Corp. Synopsys design compiler. http://www. synopsys.com/products/logic/design_compiler.html.
[27]
J. Vera, F. J. Cazorla, A. Pajuelo, O. J. Santana, E. Fernandez, and M. Valero. A novel evaluation methodology to obtain fair measurements in multithreaded architectures. In Workshop on Modeling Benchmarking and Simulation, 2006.
[28]
D. T. Wang. Modern DRAM Memory Systems: Performance Analysis and a High Performance, Power-Constrained DRAM Scheduling Algorithm. PhD thesis, University of Maryland, Department of Electrical and Computer Engineering, 1993.
[29]
Z. Zhang, Z. Zhu, and X. Zhang. A permutation-based page interleaving scheme to reduce row-buffer conflicts and exploit data locality. In Proceedings of the 33rd International Symposium on Microarchitecture, pages 32-41, 2000.
[30]
P. Zhou, V. Pandey, J. Sundaresan, A. Raghuraman, Y. Zhou, and S. Kumar. Dynamic tracking of page miss ratio curve for memory management. In Proceedings of the 11th International Conference on Architectural Support for Programming Languages and Operating Systems, pages 177-188, 2004.

Cited By

View all
  • (2023)Polling-Based Memory InterfaceACM Transactions on Design Automation of Electronic Systems10.1145/357291928:3(1-23)Online publication date: 10-May-2023
  • (2021)SAM: Accelerating Strided Memory AccessesMICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3466752.3480091(324-336)Online publication date: 18-Oct-2021
  • (2021)DvéProceedings of the 48th Annual International Symposium on Computer Architecture10.1109/ISCA52012.2021.00048(526-539)Online publication date: 14-Jun-2021
  • Show More Cited By
  1. Mini-rank: Adaptive DRAM architecture for improving memory power efficiency

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    MICRO 41: Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture
    November 2008
    483 pages
    ISBN:9781424428366

    Sponsors

    Publisher

    IEEE Computer Society

    United States

    Publication History

    Published: 08 November 2008

    Check for updates

    Qualifiers

    • Article

    Conference

    MICRO-41
    Sponsor:

    Acceptance Rates

    MICRO 41 Paper Acceptance Rate 40 of 210 submissions, 19%;
    Overall Acceptance Rate 484 of 2,242 submissions, 22%

    Upcoming Conference

    MICRO '24

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)8
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 21 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)Polling-Based Memory InterfaceACM Transactions on Design Automation of Electronic Systems10.1145/357291928:3(1-23)Online publication date: 10-May-2023
    • (2021)SAM: Accelerating Strided Memory AccessesMICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3466752.3480091(324-336)Online publication date: 18-Oct-2021
    • (2021)DvéProceedings of the 48th Annual International Symposium on Computer Architecture10.1109/ISCA52012.2021.00048(526-539)Online publication date: 14-Jun-2021
    • (2019)DimmStoreProceedings of the VLDB Endowment10.14778/3342263.3342262912:11(1499-1512)Online publication date: 1-Jul-2019
    • (2019)ReTaggerProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3317895(1-6)Online publication date: 2-Jun-2019
    • (2018)Dynamic fine-grained sparse memory accessesProceedings of the International Symposium on Memory Systems10.1145/3240302.3240416(85-97)Online publication date: 1-Oct-2018
    • (2018)What Your DRAM Power Models Are Not Telling YouProceedings of the ACM on Measurement and Analysis of Computing Systems10.1145/32244192:3(1-41)Online publication date: 21-Dec-2018
    • (2018)An MLP-aware leakage-free memory controllerProceedings of the 7th International Workshop on Hardware and Architectural Support for Security and Privacy10.1145/3214292.3214296(1-7)Online publication date: 2-Jun-2018
    • (2018)AttachéProceedings of the 51st Annual IEEE/ACM International Symposium on Microarchitecture10.1109/MICRO.2018.00034(326-338)Online publication date: 20-Oct-2018
    • (2017)Odd-ECCProceedings of the International Symposium on Memory Systems10.1145/3132402.3132443(96-111)Online publication date: 2-Oct-2017
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media