Nothing Special   »   [go: up one dir, main page]

skip to main content
research-article

A PUS based nets weighting mechanism for power, hold, and setup timing optimization

Published: 01 May 2022 Publication History

Abstract

Power consumption has become a major constraint in VLSI design. A considerable power increase is usually seen during the hold closure step of the physical design done in post-CTS and post-route stages. Hold optimization is performed by applying some circuit-level changes such as buffer insertion, cell sizing, useful-skew or cell movement. Moving the hold fixing problem to the pre-CTS stage represents a big opportunity for power saving and design closure improvement. In this paper, we present a novel power, hold, and setup driven placement algorithm. The objective is to reduce not only the setup, but also the hold violations while keeping the power consumption under control. This objective is achieved by changing the weighting mechanism of a commercial Power and Timing Driven Placement (PTDP) engine to include power, hold and electrical Design Rule Constraints (eDRC) in the weighting equation which will drive the placer to place the cells that are in the setup critical paths or connected with high power nets close to each other and relax the weight of the cells that are on hold critical paths, so the placer may place them far from each other. As a consequence, critical setup, power or eDRC nets will be shortened to reduce the delay, and critical hold nets will be elongated to add delay and hence improve the placement overall Quality of Results (QoR). This approach was deployed on 40 industrial designs of different customers, sizes, technologies, and complexities and showed very good improvement, not only in timing (setup and hold) and power consumption but also in total area and design routability. The timing gain is about 15% and 13% in TNS and THS respectively. The total power gain is about 9%, distributed as 7% in leakage power and 9% in dynamic power.

References

[1]
D. Pan, B. Halpin, H. Ren, Timing-driven placement, Handbook Algoritm. Phys. Design Automation (2008),.
[2]
Kong, T. (n.d.). A novel net weighting algorithm for timing-driven placement. IEEE/ACM International Conference on Computer Aided Design, 2002. ICCAD 2002.
[3]
D.A. Papa, T. Luo, M.D. Moffitt, C.N. Sze, Z. Li, G. Markov, I.L. Nam, Rumble. Proceedings of the 2008 International Symposium on Physical Design ISPD 8 (2008),.
[4]
Q.B. Wang, J. Lillis, S. Sanyal, An LPbased methodology for improved timing-driven placement, in: Proceedings of the ASP-DAC 2005. Asia and South Pacific Design Automation Conference, 2005,. n.d.
[5]
Y. Cheon, P. Ho, A. Kahng, S. Reda, Q. Wang, Power-aware placement, in: Proceedings. 42nd Design Automation Conference, 2005, 2005,.
[6]
M. Chentouf, Z.E. Ismaili, A novel net weighting algorithm for power and timing-driven placement, in: VLSI Design, 2018, 2018, pp. 1–9,.
[7]
T. Chan, A.B. Kahng, J. Li, NOLO: a no-loop, predictive useful-skew methodology for improved timing in IC implementation, Fifteenth Int. Symposium Qual. Electronic Design (2014),.
[8]
M. Burstein, M. Youssef, Timing influenced layout design, in: 22nd ACM/IEEE Design Automation Conference, 1985,.
[9]
Hur, S., Cao, T., Rajagopal, K., Parasuram, Y., Chowdhary, A., Tiourin, V., Halpin, B. (n.d.). Force directed Mongrel with physical net constraints. Proceedings 2003. Design Automation Conference (IEEE Cat. No.03CH37451).
[10]
S. Ou, M. Pedram, Timing-driven placement based on partitioning with dynamic cut-net control, in: Proceedings of the 37th Conference on Design Automation - DAC 00, 2000,.
[11]
Riess, B., Ettelt, G. (n.d.). SPEED: fast and efficient timing driven placement. Proceedings of ISCAS95 - International Symposium on Circuits and Systems.
[12]
Eisenmann, H., Johannes, F. (n.d.). Generic global placement and floorplanning. Proceedings 1998 Design and Automation Conference. 35th DAC. (Cat. No.98CH36175).
[13]
W. Swartz, Placement using simulated annealing, Handbook Algoritm. Phys. Design Automation (2008),.
[14]
A. Bunglowala, M. Jain, Parallel simulated annealing algorithm for standard cell placement in VLSI design, Int. J. Comput. Appl. 87 (1) (2014) 23–26,.
[15]
M.A. Jackson, E.S. Kuh, Performance-driven placement of cell based ICs, Proceedings of the 1989 26th ACM/IEEE Conference on Design Automation Conference - DAC, vol. 89, 1989,.
[16]
Srinivasan, A., Chaudhary, K., Kuh, E. (n.d.). RITUAL: a performance driven placement algorithm for small cell ICs. 1991 IEEE International Conference on Computer-Aided Design Digest of Technical Papers.
[17]
W.E. Donath, R.J. Norman, B.K. Agrawal, S.E. Bello, S.Y. Han, J.M. Kurtzberg, R.I. Mcmillan, Timing driven placement using complete path delays, Conference Proceedings on 27th ACM/IEEE Design Automation Conference - DAC, vol. 90, 1990,.
[18]
R. Tsay, J. Koehl, An analytic net weighting approach for performance optimization in circuit placement, Proceedings of the 28th Conference on ACM/IEEE Design Automation Conference - DAC, vol. 91, 1991,.
[19]
A. Dunlop, V. Agrawal, D. Deutsch, M. Jukl, P. Kozak, M. Wiesel, Chip layout optimization using critical path weighting, 21st Design Automation Conference Proceedings (1984),.
[20]
A. Krishnamoorthy, Minimize IC Power without Sacrificing Performance, EEdesign, 2004, http://www.eedesign.com/article/showArticle.jhtml?articleId=23901143.
[21]
Obermeier, B., Johannes, F. (n.d.). Temperature-aware global placement. ASP-DAC 2004: Asia and South Pacific Design Automation Conference 2004 (IEEE Cat. No.04EX753).
[22]
H. Bakopla, Circuits, Interconnections, and Packaging for VLSI, Addison-Wesley, 1990.
[23]
Jackson, M., Srinivasan, A., Kuh, E. (n.d.). Clock routing for high-performance ICs. 27th ACM/IEEE Design Automation Conference.
[24]
Natesan, V., Bhatia, D. (n.d.). Clock-skew constrained cell placement. Proceedings of 9th International Conference on VLSI Design.
[25]
Venkateswaran, N., Bhatia, D. (n.d.). Clock-skew constrained placement for row based designs. Proceedings International Conference on Computer Design. VLSI in Computers and Processors (Cat. No.98CB36273).
[26]
L. Huang, Y. Cai, Q. Zhou, X. Hong, J. Hu, Y. Lu, Clock network minimization methodology based on incremental placement, in: Proceedings of the 2005 Conference on Asia South Pacific Design Automation - ASP-DAC 05, 2005,.
[27]
Li, Z., Wu, W., Hong, X., Gu, J. (n.d.). Incremental placement algorithm for standard-cell layout. 2002 IEEE International Symposium on Circuits and Systems. Proceedings (Cat. No.02CH37353).
[28]
Deokar, R., Sapatnekar, S. (n.d.). A graph-theoretic approach to clock skew optimization. Proceedings of IEEE International Symposium on Circuits and Systems - ISCAS vol. 94.
[29]
F. Niu, Q. Zhou, H. Yao, Y. Cai, J. Yang, C.N. Sze, Obstacle-avoiding and slew-constrained buffered clock tree synthesis for skew optimization, Proceedings of the 21st Edition of the Great Lakes Symposium on Great Lakes Symposium on VLSI - GLSVLSI 11 (2011),.
[30]
Boese, K., Kahng, A. (n.d.). Zero-skew clock routing trees with minimum wirelength. [1992] Proceedings. Fifth Annual IEEE International ASIC Conference and Exhibit.
[31]
M. Guthaus, D. Sylvester, R. Brown, Clock buffer and wire sizing using sequential programming, in: 2006 43rd ACM/IEEE Design Automation Conference, 2006,.
[32]
Q. Zhu, W. Dai, High-speed clock network sizing optimization based on distributed RC and lossy RLC interconnect models, IEEE Trans. Comput. Aided Des. Integrated Circ. Syst. 15 (9) (1996) 1106–1118,.
[33]
L, W, Y. Li, H. Chen, Minimizing clock latency range in robust clock tree synthesis, in: 2010 15th Asia and South Pacific Design Automation Conference, ASP-DAC, 2010,.
[34]
D. Lee, I.L. Markov, Contango: integrated optimization of SoC clock networks, in: 2010 Design, Automation Test in Europe Conference Exhibition (DATE 2010), 2010,.
[35]
E.G. Friedman, Performance Limitations in Synchronous Digital Systems, University California, Irvine, 1989.
[36]
H. Chou, H. Yu, S. Chang, Useful-skew clock optimization for multi-power mode designs, in: 2011 IEEE/ACM International Conference on Computer-Aided Design (ICCAD), 2011,.
[37]
C. Huang, Y. Liu, Y. Lu, Y. Kuo, Y. Chang, S. Kuo, Timing-driven cell placement optimization for early slack histogram compression, Proc. 53rd Annual Design Automation Conf. DAC 16 (2016),.
[38]
Nitro-SoC™ and Olympus-SoC™ User's Manual, Software Version 2017, August 2017.
[39]
Nitro-SoC™ and Olympus-SoC™ Advanced Design Flows Guide, Software Version 2017, August 2017.
[40]
Nitro-SoC™ and Olympus-SoC™ Software Version 2017.1.R2, August 2017.

Cited By

View all
  • (2024)PowerSyn: A Logic Synthesis Framework With Early Power OptimizationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.329706943:1(203-216)Online publication date: 1-Jan-2024

Index Terms

  1. A PUS based nets weighting mechanism for power, hold, and setup timing optimization
        Index terms have been assigned to the content through auto-classification.

        Recommendations

        Comments

        Please enable JavaScript to view thecomments powered by Disqus.

        Information & Contributors

        Information

        Published In

        cover image Integration, the VLSI Journal
        Integration, the VLSI Journal  Volume 84, Issue C
        May 2022
        180 pages

        Publisher

        Elsevier Science Publishers B. V.

        Netherlands

        Publication History

        Published: 01 May 2022

        Author Tags

        1. — Application-specific integrated circuits (ASIC)
        2. Timing driven placement (TDP)
        3. Hold timing optimization
        4. Setup timing optimization
        5. Predictive useful-skew (PUS)
        6. Static timing analysis
        7. Electrical design rule constraints
        8. Electronic design automation
        9. Physical design
        10. Global routing
        11. Power optimization
        12. Total hold slack (THS)
        13. Worst hold slack (WHS)
        14. Total negative slack (TNS)
        15. Worst negative slack (WNS)
        16. Clock skew

        Qualifiers

        • Research-article

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)0
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 23 Feb 2025

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)PowerSyn: A Logic Synthesis Framework With Early Power OptimizationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.329706943:1(203-216)Online publication date: 1-Jan-2024

        View Options

        View options

        Figures

        Tables

        Media

        Share

        Share

        Share this Publication link

        Share on social media