Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/2132325.2132484acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Gate sizing and device technology selection algorithms for high-performance industrial designs

Published: 07 November 2011 Publication History

Abstract

It is becoming more and more important to design high performance designs with as low power as possible. In this paper, we study the gate sizing and device technology selection problem for today's industrial designs. We first outline the typical practical problems that make it difficult to use the traditional algorithms on high-performance industrial designs. Then, we propose a Lagrangian Relaxation (LR) based formulation that decouples timing analysis from optimization without resulting in loss of accuracy. We also propose a graph model that accurately captures discrete cell type characteristics based on library data. We model the relaxed Lagrangian subproblem as a discrete graph problem, and propose algorithms to solve it. In our experiments, we demonstrate the importance of using the signoff timing engine to guide the optimization. Compared to a state-of-the art industrial optimization flow, we show that our algorithms can obtain up to 38% leakage power reductions and better overall timing for real high-performance microprocessor blocks.

References

[1]
M. R. C. M. Berkelaar and J. A. G. Jess. Gate sizing in MOS digital circuits with linear programming. In Proc. of DATE, pages 217--221, 1990.
[2]
P. K. Chan. Algorithms for library-specific sizing of combinational logic. In Proc. of DAC, pages 353--356, 1990.
[3]
C. P. Chen, C. C.-N. Chu, and D. F. Wong. Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation. IEEE Trans. on Computer-Aided Design, 18(7):1014--1025, July 1999.
[4]
D. Chinnery and K. Keutzer. Linear programming for sizing, vth and vdd assignment. In Proc. of ISLPED, pages 149--154, 2005.
[5]
H. Chou, Y.-H. Wang, and C. C.-P. Chen. Fast and effective gate sizing with multiple-Vt assignment using generalized Lagrangian relaxation. In Proc. of ASPDAC, pages 381--386, 2005.
[6]
O. Coudert. Gate sizing for constrained delay/power/area optimization. IEEE Trans. on VLSI Systems, 5(4):465--472, 1997.
[7]
S. N. et. al. A 32nm logic tech. featuring 2nd-generation high-k + metal-gate transistors, enhanced channel strain and 0.171m2 sram cell size in a 291mb array. In Proc. of IEDM, 2008.
[8]
S. Hu, M. Ketkar, and J. Hu. Gate sizing for cell-library-based designs. IEEE Trans. on Computer-Aided Design, 28(6):818--825, June 2009.
[9]
W.-N. Li. Strongly NP-hard discrete gate sizing problems. In Proc. of ICCD, pages 468--471, 1993.
[10]
Y. Liu and J. Hu. A new algorithm for simultaneous gate sizing and threshold voltage assignment. In Proc. of ISPD, 2009.
[11]
M. Rahman, H. Tennakoon, and C. Sechen. Power reduction via near-optimal library-based cell-size selection. In Proc. of DATE, 2011.
[12]
H. Ren and S. Dutt. A network-flow based cell sizing algorithm. In Workshop Notes, Int'l Workshop on Logic Synthesis, 2008.
[13]
S. Roy, W. Chen, C. C.-P. Chen, and Y. H. Hu. Numerically convex forms and their application in gate sizing. IEEE Trans. on Computer-Aided Design, 26(9):1637--1647, Sept. 2007.
[14]
A. Srivastava, D. Sylvester, and D. Blaauw. Power minimization using simultaneous gate sizing, dual-Vdd and dual-Vth assignment. In Proc. of DAC, pages 783--787, 2004.
[15]
H. Tennakoon and C. Sechen. Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step. In Proc. of ICCAD, pages 395--402, 2002.
[16]
J. Wang, D. Das, and H. Zhou. Gate sizing by Lagrangian relaxation revisited. IEEE Trans. on Computer-Aided Design, 28(7):1071--1084, July 2009.
[17]
L. Wei, K. Roy, and C.-K. Koh. Power minimization by simultaneous dual-Vth assignment and gate sizing. In Proc. of CICC, pages 413--416, 2000.

Cited By

View all
  • (2023)ECO-GNN: Signoff Power Prediction Using Graph Neural Networks with Subgraph ApproximationACM Transactions on Design Automation of Electronic Systems10.1145/356994228:4(1-22)Online publication date: 17-May-2023
  • (2022)Limiting Interconnect Heating in Power-Driven Physical SynthesisProceedings of the 24th ACM/IEEE Workshop on System Level Interconnect Pathfinding10.1145/3557988.3569712(1-7)Online publication date: 3-Nov-2022
  • (2018)VirtualsyncProceedings of the 55th Annual Design Automation Conference10.1145/3195970.3196135(1-6)Online publication date: 24-Jun-2018
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '11: Proceedings of the International Conference on Computer-Aided Design
November 2011
844 pages
ISBN:9781457713989
  • General Chair:
  • Joel Phillips,
  • Program Chairs:
  • Alan J. Hu,
  • Helmut Graeb

Sponsors

Publisher

IEEE Press

Publication History

Published: 07 November 2011

Check for updates

Qualifiers

  • Research-article

Conference

ICCAD '11
Sponsor:

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 22 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2023)ECO-GNN: Signoff Power Prediction Using Graph Neural Networks with Subgraph ApproximationACM Transactions on Design Automation of Electronic Systems10.1145/356994228:4(1-22)Online publication date: 17-May-2023
  • (2022)Limiting Interconnect Heating in Power-Driven Physical SynthesisProceedings of the 24th ACM/IEEE Workshop on System Level Interconnect Pathfinding10.1145/3557988.3569712(1-7)Online publication date: 3-Nov-2022
  • (2018)VirtualsyncProceedings of the 55th Annual Design Automation Conference10.1145/3195970.3196135(1-6)Online publication date: 24-Jun-2018
  • (2018)Interconnect Optimization Considering Multiple Critical PathsProceedings of the 2018 International Symposium on Physical Design10.1145/3177540.3178237(132-138)Online publication date: 25-Mar-2018
  • (2015)Fast Lagrangian Relaxation Based Gate Sizing using Multi-ThreadingProceedings of the IEEE/ACM International Conference on Computer-Aided Design10.5555/2840819.2840879(426-433)Online publication date: 2-Nov-2015
  • (2015)OSFAProceedings of the 52nd Annual Design Automation Conference10.1145/2744769.2744885(1-6)Online publication date: 7-Jun-2015
  • (2014)NBTI tolerance and leakage reduction using gate sizingACM Journal on Emerging Technologies in Computing Systems10.1145/262965711:1(1-12)Online publication date: 6-Oct-2014
  • (2014)On Timing ClosureProceedings of the 51st Annual Design Automation Conference10.1145/2593069.2593171(1-6)Online publication date: 1-Jun-2014
  • (2013)High-performance gate sizing with a signoff timerProceedings of the International Conference on Computer-Aided Design10.5555/2561828.2561917(450-457)Online publication date: 18-Nov-2013
  • (2013)Fast and near-optimal timing-driven cell sizing under cell area and leakage power constraints using a simplified discrete network flow algorithmVLSI Design10.1155/2013/4746012013(1-1)Online publication date: 1-Jan-2013
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media