Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/191326.191585acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article
Free access

Minimum crosstalk switchbox routing

Published: 06 November 1994 Publication History

Abstract

As technology advances, interconnection wires are placed in closer proximity. Consequently, reduction of crosstalks between interconnection wires becomes an important consideration in VLSI design. In this paper, we study the gridded switchbox routing problems with the objectives of satisfying crosstalk constraints and minimizing the total crosstalk in the nets. We propose a new approach to the problems which utilizes existing switchbox routing algorithms and improves upon the routing results by re-assigning the horizontal and vertical wire segments to rows and columns, respectively, in an interative fashion. This approach can also be applied to the channel routing problem with crosstalk constraints. A novel mixed ILP formulation and effective procedures for reducing the number of variables and constraints in the mixed ILP formulation are then presented. The experimental results are encouraging.

References

[1]
H. H. Chen and C. K. Wong. Wiring and Crosstalk Avoidance in Multi-Chip Module Design. In Proc. Custom Integrated Circuits Conference, pages 28.6.1-28.6.4, 1992.
[2]
W. M. Dai, R. Kong, J. Jue, and M. Sato. Rubber Band Routing and Dynamic Data Representation. In Proc. International Conference on Computer- Aided Design, pages 52-55, 1990.
[3]
T. Gao and C. L. Liu. Minimum Crosstalk Channel Routing. In Proc. International Conference on Computer-Aided Design, pages 692-696, 1993.

Cited By

View all
  • (2012)On pioneering nanometer-era routing problemsProceedings of the 2012 ACM international symposium on International Symposium on Physical Design10.1145/2160916.2160931(65-68)Online publication date: 25-Mar-2012
  • (2003)Closed-Form Crosstalk Noise Delay MetricsAnalog Integrated Circuits and Signal Processing10.1023/A:102412653187235:2-3(143-156)Online publication date: 1-May-2003
  • (2001)Formulae and applications of interconnect estimation considering shield insertion and net orderingProceedings of the 2001 IEEE/ACM international conference on Computer-aided design10.5555/603095.603162(327-332)Online publication date: 4-Nov-2001
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '94: Proceedings of the 1994 IEEE/ACM international conference on Computer-aided design
November 1994
771 pages
ISBN:0897916905

Sponsors

Publisher

IEEE Computer Society Press

Washington, DC, United States

Publication History

Published: 06 November 1994

Check for updates

Qualifiers

  • Article

Conference

ICCAD '94
Sponsor:
ICCAD '94: International Conference on Computer Aided Design
November 6 - 10, 1994
California, San Jose, USA

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)16
  • Downloads (Last 6 weeks)6
Reflects downloads up to 02 Oct 2024

Other Metrics

Citations

Cited By

View all
  • (2012)On pioneering nanometer-era routing problemsProceedings of the 2012 ACM international symposium on International Symposium on Physical Design10.1145/2160916.2160931(65-68)Online publication date: 25-Mar-2012
  • (2003)Closed-Form Crosstalk Noise Delay MetricsAnalog Integrated Circuits and Signal Processing10.1023/A:102412653187235:2-3(143-156)Online publication date: 1-May-2003
  • (2001)Formulae and applications of interconnect estimation considering shield insertion and net orderingProceedings of the 2001 IEEE/ACM international conference on Computer-aided design10.5555/603095.603162(327-332)Online publication date: 4-Nov-2001
  • (2000)Crosstalk-Constrained Performance Optimization by Using Wire Sizing and PerturbationProceedings of the 2000 IEEE International Conference on Computer Design: VLSI in Computers & Processors10.5555/557517.846850Online publication date: 17-Sep-2000
  • (2000)Domino logic synthesis minimizing crosstalkProceedings of the 37th Annual Design Automation Conference10.1145/337292.337419(280-285)Online publication date: 1-Jun-2000
  • (2000)Wire packingProceedings of the 2000 international symposium on Physical design10.1145/332357.332375(61-68)Online publication date: 1-May-2000
  • (2000)Simultaneous shield insertion and net ordering for capacitive and inductive coupling minimizationProceedings of the 2000 international symposium on Physical design10.1145/332357.332374(55-60)Online publication date: 1-May-2000
  • (1999)An efficient sequential quadratic programming formulation of optimal wire spacing for cross-talk noise avoidance routingProceedings of the 1999 international symposium on Physical design10.1145/299996.300011(22-28)Online publication date: 12-Apr-1999
  • (1998)Timing and crosstalk driven area routingProceedings of the 35th annual Design Automation Conference10.1145/277044.277148(378-381)Online publication date: 1-May-1998
  • (1998)Global routing with crosstalk constraintsProceedings of the 35th annual Design Automation Conference10.1145/277044.277147(374-377)Online publication date: 1-May-1998
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media