Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/1326073.1326217acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

A nonlinear cell macromodel for digital applications

Published: 05 November 2007 Publication History

Abstract

Current source models have emerged as a promising technique for reducing digital cell netlists to a simpler electrical model for use in timing and other applications. The Multiport Current Source Model (MCSM) is one of the most general models in this class, which has been shown to handle multiple electrical effects including multiple-input switching (MIS) events in timing. However, this new model is hampered by two major problems: port characterization runtime and accuracy across a range of complicated cells which are deployed in advanced microprocessor design such as complex combinational cells, muxes, and sequentials. In this paper we demonstrate a significant leap in modeling accuracy and characterization runtime over the MCSM model which effectively eliminates these remaining issues. The quality of the new approach is conclusively demonstrated on a comprehensive 45nm cell library currently in use. The new approach accurately models both complex combinational as well as, for the first time, sequential cells, and puts MCSMs on the path for next generation gate level electrical analysis.

References

[1]
J. F. Croix and D. F. Wong, "Blade and Razor: Cell and interconnect delay analysis using current-based models," Proc. of DAC 2003, pp. 386--389.
[2]
I. Keller, K. Tseng, and N. Verghese, "A robust cell-level crosstalk delay change analysis," Proc. of ICCAD, pp. 147--154, Nov. 2004.
[3]
P. Li and E. Acar, "Waveform independent gate models for accurate timing analysis", Proc. ICCD, pp. 363--365, Oct. 2005.
[4]
C. Amin, C. Kashyap, N. Menezes, K. Killpack and E. Chiprout, "A multi-port current source model for multiple-input switching effects in CMOS library cells," Proc. of DAC, pp. 247--252, Jul. 2006.
[5]
C. Amin, F. Dartu, and Y. I. Ismail, "Weibull based analytical waveform model," Proc. of ICCAD, pp. 161--168, Nov. 2005.
[6]
S. R. Nassif and E. Acar, "Advanced waveform models for the nanometer regime," Proc. of TAU, 2004.
[7]
J. C. Ebergen, S. Fairbanks, and I. E. Sutherland, "Predicting performance of micropipelines using Charlie diagrams," Proc. of Advanced Research in Async. Circuits and Systems, pp. 238--246, Mar. 1998.
[8]
V. Chandramouli and K. A. Sakallah, "Modeling the effects of temporal proximity of input transitions on gate propagation delay and transition time," Proc. of DAC, pp. 617--622, Jun. 1996.
[9]
L-C Chen, S. K. Gupta, M. A. Breuer, "A new gate delay model for simultaneous switching and its applications," Proc. of DAC, pp. 289--294, Jun. 2001.
[10]
J. Qian, S. Pullela, and L. Pillage, "Modeling the effective capacitance for the RC interconnect of CMOS gates," IEEE Trans, on CAD, vol. 13, no. 12, pp. 1526--1535, Dec. 1994.
[11]
F. Dartu, N. Menezes, and L. T. Pileggi, "Performance computation for precharacterized CMOS gates with RC loads," IEEE Trans, on CAD, vol. 15, no. 5, pp. 544--553, May 1996.
[12]
F. Dartu and L. T. Pileggi, "Calculating worst-case gate delays due to dominant capacitance coupling," Proc. of DAC, pp. 46--51, Jun. 1997.
[13]
L. T. Pillage and R. A. Rohrer, "Asymptotic waveform evaluation for static timing analysis," IEEE Trans. on CAD, vol. 9, no. 4, pp. 352--366, 1990.
[14]
A. Odabasioglu, M. Celik, and L. T. Pileggi, "PRIMA: Passive reduced order interconnect macromodeling algorithm," IEEE Trans. on CAD, vol. 17, no. 8, pp. 645--654, 1998.
[15]
P. Feldmann and R. W. Freund, "Efficient linear circuit analysis by Pade approximation via Lanczos process," IEEE Trans. on CAD, vol. 14, no. 5, pp. 639--649, 1995.
[16]
D. Blaauw, S. Sirichotiyakul, and C. Oh, "Driver modeling and alignment for worst-case delay noise," IEEE Trans. on VLSI, pp. 157--165, Apr. 2003.
[17]
M. Rewienski and J. White, "A trajectory piece-wise linear approach to model order reduction and fast simulation of nonlinear circuits and micromachined devices," IEEE Trans. on CAD, vol. 22, no. 2, pp. 155--170, 2003.
[18]
N. Dong and J. Roychowdhury, "Automated nonlinear macromodeling of output buffers for high speed digital applications," Proc. of DAC, pp. 51--56, 2005.
[19]
"CCS timing white paper," Composite Current Source, Synopsys, {online} http://www.synopsys.com/products/solutions/galaxy/ccs/cc_source.html, 2005.
[20]
"Delay calculation meets the nanometer era," Cadence Technical Paper, {online} http://www.cadence.com/products/digital_ic/tech_info.aspx, 2005.
[21]
V. Veetil, D. Sylvester, and D. Blaauw, "Fast and accurate waveform analysis with current source models," Proc. of TAU, pp. 73--79, Feb. 2007.
[22]
L. W. Nagel, SPICE 2 -- A computer to simulate semiconductor circuits, Memo. ERL-M520, University of California, Berkeley, 1975.
[23]
M. Celik, A. Odabasioglu, and L. Pileggi, IC Interconnect Analysis, Kluwer Academic Publishers, 2002.
[24]
M. Shoji, High Speed Digital Circuits, Addison-Wesley, 1996.

Cited By

View all
  • (2016)Practical statistical static timing analysis with current source modelsProceedings of the 53rd Annual Design Automation Conference10.1145/2897937.2898068(1-6)Online publication date: 5-Jun-2016
  • (2012)Current source modeling for power and timing analysis at different supply voltagesProceedings of the Conference on Design, Automation and Test in Europe10.5555/2492708.2492940(923-928)Online publication date: 12-Mar-2012
  • (2010)White-box current source modeling including parameter variation and its application in timing simulationProceedings of the 20th international conference on Integrated circuit and system design: power and timing modeling, optimization and simulation10.5555/1950238.1950263(200-210)Online publication date: 7-Sep-2010
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '07: Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
November 2007
933 pages
ISBN:1424413826
  • General Chair:
  • Georges Gielen

Sponsors

Publisher

IEEE Press

Publication History

Published: 05 November 2007

Check for updates

Qualifiers

  • Research-article

Conference

ICCAD07
Sponsor:

Acceptance Rates

ICCAD '07 Paper Acceptance Rate 139 of 510 submissions, 27%;
Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 21 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2016)Practical statistical static timing analysis with current source modelsProceedings of the 53rd Annual Design Automation Conference10.1145/2897937.2898068(1-6)Online publication date: 5-Jun-2016
  • (2012)Current source modeling for power and timing analysis at different supply voltagesProceedings of the Conference on Design, Automation and Test in Europe10.5555/2492708.2492940(923-928)Online publication date: 12-Mar-2012
  • (2010)White-box current source modeling including parameter variation and its application in timing simulationProceedings of the 20th international conference on Integrated circuit and system design: power and timing modeling, optimization and simulation10.5555/1950238.1950263(200-210)Online publication date: 7-Sep-2010
  • (2009)Efficient analytical determination of the SEU-induced pulse shapeProceedings of the 2009 Asia and South Pacific Design Automation Conference10.5555/1509633.1509745(461-467)Online publication date: 19-Jan-2009
  • (2008)A "true" electrical cell model for timing, noise, and power grid verificationProceedings of the 45th annual Design Automation Conference10.1145/1391469.1391589(462-467)Online publication date: 8-Jun-2008
  • (2008)Transistor level gate modeling for accurate and fast timing, noise, and power analysisProceedings of the 45th annual Design Automation Conference10.1145/1391469.1391588(456-461)Online publication date: 8-Jun-2008

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media