Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/1326073.1326196acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits

Published: 05 November 2007 Publication History

Abstract

Thermal issues are a primary concern in the three-dimensional (3D) integrated circuit (IC) design. Temperature, area, and wire length must be simultaneously optimized during 3D floorplanning, significantly increasing optimization complexity. Most existing floorplanners use combinatorial stochastic optimization techniques, hampering performance and scalability when used for 3D floorplanning. In this work, we propose and evaluate a scalable, temperature-aware, force-directed floorplanner called 3D-STAF. Force-directed techniques, although efficient at reacting to physical information such as temperature gradients, must eventually eliminate overlap. This can cause significant displacement when used for heterogeneous blocks. To smooth the transition from an unconstrained 3D placement to a legalized, layer-assigned floorplan, we propose a three-stage force-directed optimization flow combined with new legalization techniques that eliminate white spaces and block overlapping during multi-layer floorplanning. A temperature-dependent leakage model is used within 3D-STAF to permit optimization based on the feedback loop connecting thermal profile and leakage power consumption. 3D-STAF has good performance that scales well for large problem instances. Compared to recently published 3D floorplanning work, 3D-STAF improves the area by 6%, wire length by 16%, via count by 22%, peak temperature by 6% while running nearly 4x faster on average.

References

[1]
B. Black, D. W. Nelson, C. Webb, and N. Samra., "3D processing technology and its impact on IA32 microprocessors," in Proc. Int. Conf. Computer Design, Oct. 2004, pp. 316--318.
[2]
"International Technology Roadmap for Semiconductors," 2006, http://public.itrs.net.
[3]
A. Chandrakasan, W. Bowhill, and F. Fox, Design of High-Performance Microprocessor Circuits. IEEE Press, 2001.
[4]
Z. Li, X. Hong, Q. Zhou, Y. Cai, J. Bian, H. H. Yang, V. Pitchumani, and C.-K. Cheng, "Hierarchical 3-D floorplanning algorithm for wirelength optimization," IEEE Trans. Circuits and Systems I, 2007, to appear.
[5]
X. Hong, G. Huang, Y. Cai, J. Gu, S. Dong, C.-K. Cheng, and J. Gu, "Corner block list: An effective and efficient topological representation of non-slicing floorplan," in Proc. Int. Conf. Computer-Aided Design, Nov. 2000, pp. 8--12.
[6]
H. Murata, K. Fijiyoshi, S. Nakatake, and Y. Kajitani, "VLSI module placement based on rectangle-packing by the sequence-pair," IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol. 15, no. 12, pp. 1518--1524, Dec. 1996.
[7]
S. Nakatake, K. Fujiyoshi, H. Murata, and Y. Kajitanid, "Module packing based on the BSG-structure and IC layout applications," IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, vol. 17, no. 6, pp. 519--530, June 1998.
[8]
G.-M. Wu, S.-W. Wu, Y.-W. Chang, and Y.-C. Chang, "B*-trees: A new representation for non-slicing floorplans," in Proc. Design Automation Conf., June 2000, pp. 458--463.
[9]
J.-M. Lin and Y.-W. Chang, "TCG: a transitive closure graph based representation for non-slicing floorplans," in Proc. Design Automation Conf., June 2001, pp. 764--769.
[10]
Y. Deng and W. P. Maly, "Interconnect characteristics of 2.5D system integration scheme," in Proc. Int. Symp. Physical Design, Apr. 2001, pp. 341--345.
[11]
P. H. Shiu, R. Ravichandran, S. Easwar, and S. K. Lim, "Multilayer floorplanning for reliable system-on-package," in Proc. Int. Symp. Circuits & Systems, May 2004, pp. 69--72.
[12]
J. Cong, J. Wei, and Y. Zhang, "A thermal-driven floorplanning algorithm for 3D ICs," in Proc. Int. Conf. Computer-Aided Design, Nov. 2004, pp. 306--313.
[13]
W.-L. Hung, G. M. Link, Y. Xie, N. Vijaykrishnan, and M. J. Irwin, "Interconnect and thermal-aware floorplanning for 3D microprocessors," in Proc. Int. Symp. Quality of Electronic Design, Mar. 2006, pp. 98--104.
[14]
B. Obermeier and F. Johannes, "Temperature aware global placement," in Proc. Asia & South Pacific Design Automation Conf., Jan. 2004, pp. 143--148.
[15]
B. Goplen and S. Sapatnekar, "Efficient thermal placement of standard cells in 3D ICs using a force directed approach," in Proc. Int. Conf. Computer-Aided Design, Nov. 2003, pp. 86--89.
[16]
W. Huang, E. Humenay, K. Skadron, and M. R. Stan, "The need for a full-chip and package thermal model for thermally optimized IC designs," in Proc. Int. Symp. Low Power Electronics & Design, Aug. 2005, pp. 245--250.
[17]
A. Gupta, N. D. Dutt, F. J. Kurdahi, K. S. Khouri, and M. S. Abadir, "LEAF: A system level leakage-aware floorplanner for SoCs," in Proc. Asia & South Pacific Design Automation Conf., Jan. 2007, pp. 274--279.
[18]
K. Vorwerk, A. Kennings, and A. Vannelli, "Engineering details of a stable analytic placer," in Proc. Int. Conf. Computer-Aided Design, Nov. 2004, pp. 573--580.
[19]
J. Barnes and P. Hut, "A hierarchical o(n log n) force-calculation algorithm," Nature, vol. 324, Dec. 1986.
[20]
M. D. Moffitt, A. N. Ng, I. L. Markov, and M. E. Pollack, "Constraint-driven floorplan repair," in Proc. Design Automation Conf., June 2006, pp. 1103--1108.
[21]
J. Cong and M. Xie, "A robust detailed placement for mixed-size IC designs," in Proc. Asia & South Pacific Design Automation Conf., Jan. 2006, pp. 188--194.
[22]
G. S. Ohm, "The Galvanic circuit investigated mathematically," 1827.
[23]
Y. Zhan and S. S. Sapatnekar, "A high efficiency full-chip thermal simulation algorithm," in Proc. Int. Conf. Computer-Aided Design, Oct. 2005.
[24]
P. Li, L. T. Pileggi, M. Ashghi, and R. Chandra, "Efficient full-chip thermal modeling and analysis," in Proc. Int. Conf. Computer-Aided Design, Nov. 2004, pp. 319--326.
[25]
Y. Yang, Z. P. Gu, C. Zhu, R. P. Dick, and L. Shang, "ISAC: Integrated Space and Time Adaptive Chip-Package Thermal Analysis," IEEE Trans. Computer-Aided Design of Integrated Circuits and Systems, Jan. 2007.

Cited By

View all
  • (2019)A Survey of Chip-level Thermal SimulatorsACM Computing Surveys10.1145/330954452:2(1-35)Online publication date: 30-Apr-2019
  • (2017)A fast leakage aware thermal simulator for 3D chipsProceedings of the Conference on Design, Automation & Test in Europe10.5555/3130379.3130784(1737-1742)Online publication date: 27-Mar-2017
  • (2016)Modular Placement for Interposer based Multi-FPGA SystemsProceedings of the 26th edition on Great Lakes Symposium on VLSI10.1145/2902961.2903025(93-98)Online publication date: 18-May-2016
  • Show More Cited By
  1. 3D-STAF: scalable temperature and leakage aware floorplanning for three-dimensional integrated circuits

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '07: Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
    November 2007
    933 pages
    ISBN:1424413826
    • General Chair:
    • Georges Gielen

    Sponsors

    Publisher

    IEEE Press

    Publication History

    Published: 05 November 2007

    Check for updates

    Qualifiers

    • Research-article

    Conference

    ICCAD07
    Sponsor:

    Acceptance Rates

    ICCAD '07 Paper Acceptance Rate 139 of 510 submissions, 27%;
    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Upcoming Conference

    ICCAD '24
    IEEE/ACM International Conference on Computer-Aided Design
    October 27 - 31, 2024
    New York , NY , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)1
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 21 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2019)A Survey of Chip-level Thermal SimulatorsACM Computing Surveys10.1145/330954452:2(1-35)Online publication date: 30-Apr-2019
    • (2017)A fast leakage aware thermal simulator for 3D chipsProceedings of the Conference on Design, Automation & Test in Europe10.5555/3130379.3130784(1737-1742)Online publication date: 27-Mar-2017
    • (2016)Modular Placement for Interposer based Multi-FPGA SystemsProceedings of the 26th edition on Great Lakes Symposium on VLSI10.1145/2902961.2903025(93-98)Online publication date: 18-May-2016
    • (2013)Thermal-aware task scheduling in 3D chip multiprocessor with real-time constrained workloadsACM Transactions on Embedded Computing Systems10.1145/2423636.242364212:2(1-22)Online publication date: 22-Feb-2013
    • (2012)Multiobjective optimization of deadspace, a critical resource for 3D-IC integrationProceedings of the International Conference on Computer-Aided Design10.1145/2429384.2429538(705-712)Online publication date: 5-Nov-2012
    • (2012)Recent thermal management techniques for microprocessorsACM Computing Surveys10.1145/2187671.218767544:3(1-42)Online publication date: 14-Jun-2012
    • (2012)TSV redundancyIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2011.210792420:4(711-722)Online publication date: 1-Apr-2012
    • (2011)OPALProceedings of the 16th Asia and South Pacific Design Automation Conference10.5555/1950815.1950890(345-350)Online publication date: 25-Jan-2011
    • (2011)Rethinking thermal via planning with timing-power-temperature dependence for 3D ICsProceedings of the 16th Asia and South Pacific Design Automation Conference10.5555/1950815.1950875(261-266)Online publication date: 25-Jan-2011
    • (2011)Assembling 2D blocks into 3D chipsProceedings of the 2011 international symposium on Physical design10.1145/1960397.1960417(81-88)Online publication date: 27-Mar-2011
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media