Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/1326073.1326180acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

A selective pattern-compression scheme for power and test-data reduction

Published: 05 November 2007 Publication History

Abstract

This paper proposes a selective pattern-compression scheme to minimize both test power and test data volume during scan-based testing. The proposed scheme will selectively supply the test patterns either through the compressed scan chain whose scanned values will be decoded to the original scan cells, or directly through the original scan chain using minimum transition filling method. Due to shorter length of a compressed scan chain, the potential switching activities and the required storage bits can be both reduced. Furthermore, the proposed scheme also supports multiple scan chains. The experimental results demonstrate that, with few hardware overhead, the proposed scheme can achieve significant improvement in shift-in power reduction and large amount of test data volume reduction.

References

[1]
A. Crouch. Design-for-Test for Digital IC's and Embedded Core Systems. Prentice Hall, 1999.
[2]
P. Girard. "Survey of Low-Power Testing of VLSI Circuit," In Proc., IEEE VLSI Test Symposium, pp. 82--92, 2002.
[3]
N. Nicolici and B. M. Al-Hashimi. Power-Constrained Testing of VLSI Circuits. Kluwer Academic Publishers, 2003.
[4]
B. Koenemann. "LFSR-Coded Test Patterns for Scan Designs," In Proc., European Test Conference, pp. 237--242, 1991.
[5]
S. Kajihara, K. Taniguchi, I. Pomeranz, and S. M. Reddy. "Test Data Compression Using Don't-Care Identification and Statistical Encoding," In IEEE International Workshop on Electronic Design, Test and Applications, pp. 413--416, 2002.
[6]
M. Nourani and M. H. Tehranipour. "RL-Huffman Encoding for Test Compression and Power Reduction in Scan Applications," ACM Transactions on Design Automation of Electronic Systems, 10(1):91--115, January 2005.
[7]
I. Lee, J. H. Jeong, T. Ambler. "Two Efficient Methods to Reduce Power and Testing Time," In Proc., International Symposium on Low Power electronics and Design, pp. 167--172, 2005.
[8]
K. J. Lee, S. J. Hsu and C. M. Ho. "Test Power Reduction with Multiple Capture Orders," In Proc., IEEE Asian Test Symposium, pp. 26--31, 2004.
[9]
Y. Shi, N. Togawa, S. Kimura, M. Yanagisawa, and T. Ohtsuki. "Low Power Test Compression Technique for Designs with Multiple Scan Chains," In Proc., IEEE Asian Test Symposium, pp. 386--389, 2005.
[10]
A. Chandra and K. Chakrabarty. "Low-Power Scan Testing and Test Data Compression for System-on-a-Chip," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 21(5):597--604, May 2002.
[11]
TurboScan. SynTest Inc.
[12]
TetraMax. Synopsys Inc.
[13]
L.-C. Hsu and H.-M. Chen. "On Optimizing Scan Testing Power and Routing Cost in Scan Chain Design," In International Symposium on Quality Electronic Design, pp. 451--456, 2006.
[14]
R. Sankaralingam, R. R. Oruganti, and N. A. Touba. "Static compaction techniques to control scan vector power dissipation," In Proc., IEEE VLSI Test Symposium, pp. 35--40, 2000.
[15]
M. Nourani M. Tehranipour and K. Chakrabarty. "Nine-coded Compression Technique with Application to Reduced Pin-count Testing and Flexible On-chip Decompression," In Proc., Design, Automation, and Test in Europe, pp. 1284--1289, March 2004.
[16]
A. Jas, J. G. Dastidar, M. E. Ng and N. A. Touba. "An Efficient Test Vector Compression Scheme Using Selective Huffman Coding," IEEE Trans. On Computer-Aided Design of Integrated Circuits and Systems, Vol.22, No. 6, pp. 797--806, June 2003.
[17]
L. Whetsel. "Adapting Scan Architectures for Low Power Operation," In Proc., IEEE International Test Conference, pp. 863--872, 2000.
[18]
I. Hamzaoglu and J. H. Patel. "Test set compaction algorithms for combinational circuits," In Proc., IEEE International Test Conference, pp. 283--289, October 1998.
[19]
A. Chandra and K. Chakrabarty. "Frequency-directedrun-length (FDR) codes with application to system-on-a-chip test data compression," In Proc., IEEE VLSI Test Symposium, pp. 42--47, May 2001.
[20]
S. W. Golomb. "Run-length encoding," IEEE Trans. Inform. Theory, vol. IT-12, pp. 399--401, Dec. 1966.
[21]
G. Seroussi and M. J. Weinberger. "On adaptive strategies for an extended family of Golomb-type code," In Proc., Data Compression Conference, pp. 131--140, 1997.
[22]
Y. Shi, N. Togawa, S. Kimura, M. Yanagisawa, and T. Ohtsuki. "An Efficient Multiscan-based Test Compression Technique for Test Cost Reduction,". In Proc., ACM/IEEE Design Automation Conference, pp. 653--658, July 2006.
[23]
C. S. Tautermann A. Wurtenberger and S. Hellebrand. "Data Compression for Multiple Scan Using Dictionaries with Corrections," In Proc., IEEE International Test Conference, pp. 926--935, Oct. 2004.
[24]
L.-T. Wang. X. Wen, H. Furukawa, F.-S. Hsu, S.-H. Lin, S.-W. Tsai, K. S. Abdel-Hafez, and S. Wu. "VirtualScan: A New Compressed Scan Technology for Test Cost Reduction," In Proc., IEEE International Test Conference, pp. 916--925, Oct. 2004.
[25]
A. Orailoglu W. Rao and G. Su. "Frugal Linear Network-Based Test Decompression for Drastic Test Cost Reduction," In Proc., International Conference on Computer-Aided Design, pp. 721--725, Nov. 2004.
[26]
H. Tang, S. M. Reddy and I. Pomeranz. "On Reducing Test Data Volume and Test Application Time for Multiple Scan Chain Designs," In Proc., IEEE International Test Conference, pp. 1079--1088, 2003.
[27]
S. P. Lin, C. L. Lee, J. E. Chen, J. J. Chen K. L. L, and W. C. Wu. "A Multilayer Data Copy Scheme for Low Cost Test with Controlled Scan-In Power for Multiple Scan Chain Designs," In Proc., IEEE International Test Conference, 2006.
[28]
A. R. Pandey, J. H. Patel, "An incremental algorithm for test generation in Illinois scan architecture based designs," In Proc., Design, Automation, and Test in Europe, pp. 368--375, 2002.
  1. A selective pattern-compression scheme for power and test-data reduction

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '07: Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
    November 2007
    933 pages
    ISBN:1424413826
    • General Chair:
    • Georges Gielen

    Sponsors

    Publisher

    IEEE Press

    Publication History

    Published: 05 November 2007

    Check for updates

    Qualifiers

    • Research-article

    Conference

    ICCAD07
    Sponsor:

    Acceptance Rates

    ICCAD '07 Paper Acceptance Rate 139 of 510 submissions, 27%;
    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Upcoming Conference

    ICCAD '24
    IEEE/ACM International Conference on Computer-Aided Design
    October 27 - 31, 2024
    New York , NY , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • 0
      Total Citations
    • 198
      Total Downloads
    • Downloads (Last 12 months)3
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 21 Sep 2024

    Other Metrics

    Citations

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media