Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/1326073.1326099acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Unified adaptivity optimization of clock and logic signals

Published: 05 November 2007 Publication History

Abstract

VLSI design is increasingly sensitive to variations which often degrade the parametric yield. Post-silicon tuning techniques can compensate for specific variations on the die and thus significantly improve the yield. Previous works on adaptivity optimization for post-silicon tuning focus on either logic signal tuning or clock signal tuning. This paper proposes the first unified adaptivity optimization on logical and clock signal tuning, which enables us to significantly save resource. In addition, it does not need any assumption on variation distributions.
Our unified optimization is based on a novel linear programming formulation which can be efficiently solved by an advanced robust linear programming technique. Due to the discrete nature of the problem, the continuous solution obtained from linear programming is then efficiently discretized. This procedure involves binary search accelerated dynamic programming, batch based optimization, and Latin Hypercube sampling based fast simulation. Our experimental results demonstrate that up to 50% area cost reduction can be obtained by the unified optimization compared to optimization on logic or clock alone. In addition, the proposed discretization approach significantly outperforms the alternatives in terms of solution quality and runtime.

References

[1]
M. Mani, A. Devgan, and M. Orshansky, "An efficient algorithm for statistical minimization of total power under timing yield constraints," in DAC, pp. 309--314, 2005.
[2]
A. Agarwal, K. Chopra, D. Blaauw, and V. Zolotov, "Circuit optimization using statistical static timing analysis," in DAC, pp. 321--324, 2005.
[3]
J. Singh, V. Nookala, Z.-Q. Luo, and S. S. Sapatnekar, "Robust gate sizing by geometric programming," in DAC, pp. 315--320, 2005.
[4]
S. Kulkarni, D. Sylvester, and D. Blaauw, "A statistical framework for post-silicon tuning through body bias clustering," ICCAD, pp. 39--46, 2006.
[5]
J. Tschanz, J. Kao, S. Narendra, R. Nair, D. Antoniadis, A. Chan-drakasan, and V. De, "Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage," IEEE Journal of Solid-State Circuits, vol. 37, no. 11, pp. 1396--1401, 2002.
[6]
M. Mani, A. Singh, and M. Orshansky, "Joint design-time and post-silicon minimization of parametric yield loss using adjustable robust optimization," ICCAD, pp. 19--26, 2006.
[7]
J.-L. Tsai, L. Zhang, and C.-P. Chen, "Statistical timing analysis driven post-silicon-tunable clock-tree synthesis," ICCAD, pp. 575--581, 2005.
[8]
V. Khandelwal and A. Srivastava, "Variability-driven formulation for simultaneous gate sizing and post-silicon tunability allocation," ISPD, pp. 11--18, 2007.
[9]
D. Bertsimas and M. Sim, "The price of robustness," Operations Research, vol. 52, no. 1, pp. 35--53, 2004.
[10]
V. Nawale and T. Chen, "Optimal useful clock skew scheduling in the presence of variations using robust ILP-formulations," ICCAD, pp. 27--32, 2006.
[11]
K. Fang, K. Fang, and L. Runze, "Design and modelling for computer experiments," CRC Press, 2005.

Cited By

View all
  • (2016)Optimal utilization of adjustable delay clock buffers for timing correction in designs with multiple power modesIntegration, the VLSI Journal10.1016/j.vlsi.2015.08.00552:C(91-101)Online publication date: 1-Jan-2016
  • (2014)Mixed allocation of adjustable delay buffers combined with buffer sizing in clock tree synthesis of multiple power mode designsProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2616995(1-4)Online publication date: 24-Mar-2014
  • (2013)An optimal algorithm of adjustable delay buffer insertion for solving clock skew variation problemProceedings of the 50th Annual Design Automation Conference10.1145/2463209.2488845(1-6)Online publication date: 29-May-2013
  • Show More Cited By
  1. Unified adaptivity optimization of clock and logic signals

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ICCAD '07: Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
    November 2007
    933 pages
    ISBN:1424413826
    • General Chair:
    • Georges Gielen

    Sponsors

    Publisher

    IEEE Press

    Publication History

    Published: 05 November 2007

    Check for updates

    Author Tags

    1. clock signal tuning
    2. logic signal tuning
    3. post-silicon tuning
    4. robustness
    5. variation

    Qualifiers

    • Research-article

    Conference

    ICCAD07
    Sponsor:

    Acceptance Rates

    ICCAD '07 Paper Acceptance Rate 139 of 510 submissions, 27%;
    Overall Acceptance Rate 457 of 1,762 submissions, 26%

    Upcoming Conference

    ICCAD '24
    IEEE/ACM International Conference on Computer-Aided Design
    October 27 - 31, 2024
    New York , NY , USA

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 21 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2016)Optimal utilization of adjustable delay clock buffers for timing correction in designs with multiple power modesIntegration, the VLSI Journal10.1016/j.vlsi.2015.08.00552:C(91-101)Online publication date: 1-Jan-2016
    • (2014)Mixed allocation of adjustable delay buffers combined with buffer sizing in clock tree synthesis of multiple power mode designsProceedings of the conference on Design, Automation & Test in Europe10.5555/2616606.2616995(1-4)Online publication date: 24-Mar-2014
    • (2013)An optimal algorithm of adjustable delay buffer insertion for solving clock skew variation problemProceedings of the 50th Annual Design Automation Conference10.1145/2463209.2488845(1-6)Online publication date: 29-May-2013
    • (2012)Load-balanced clock tree synthesis with adjustable delay buffer insertion for clock skew reduction in multiple dynamic supply voltage designsACM Transactions on Design Automation of Electronic Systems (TODAES)10.1145/2209291.220930717:3(1-22)Online publication date: 5-Jul-2012
    • (2011)An efficient algorithm of adjustable delay buffer insertion for clock skew minimization in multiple dynamic supply voltage designsProceedings of the 16th Asia and South Pacific Design Automation Conference10.5555/1950815.1950971(825-830)Online publication date: 25-Jan-2011
    • (2011)An optimal algorithm for allocation, placement, and delay assignment of adjustable delay buffers for clock skew minimization in multi-voltage mode designsProceedings of the 16th Asia and South Pacific Design Automation Conference10.5555/1950815.1950918(503-508)Online publication date: 25-Jan-2011
    • (2009)Value assignment of Adjustable Delay Buffers for clock skew minimization in multi-voltage mode designsProceedings of the 2009 International Conference on Computer-Aided Design10.1145/1687399.1687500(535-538)Online publication date: 2-Nov-2009
    • (2008)Variation-aware gate sizing and clustering for post-silicon optimized circuitsProceedings of the 2008 international symposium on Low Power Electronics & Design10.1145/1393921.1393949(105-110)Online publication date: 11-Aug-2008

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media