Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/1326073.1326084acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
research-article

Stochastic extended Krylov subspace method for variational analysis of on-chip power grid networks

Published: 05 November 2007 Publication History

Abstract

In this paper, we propose a novel stochastic method for analyzing the voltage drop variations of on-chip power grid networks with log-normal leakage current variations. The new method, called StoEKS, applies Hermite polynomial chaos (PC) to represent the random variables in both power grid networks and input leakage currents. But different from the existing Hermit PC based stochastic simulation method, extended Krylov subspace method (EKS) is employed to compute variational responses using the augmented matrices consisting of the coefficients of Hermite polynomials. Our contribution lies in the combination of the statistical spectrum method with the extended Krylov subspace method to fast solve the variational circuit equations for the first time. Experimental results show that the proposed method is about two-order magnitude faster than the existing Her-mite PC based simulation method and more order of magnitudes faster than Monte Carlo methods with marginal errors. StoEKS also can analyze much larger circuits than the exiting Hermit PC based methods.

References

[1]
A. B. Kahng, "DFM tools and methodologies for 65nm and below," in Proc. Asia South Pacific Design Automation Conf. (ASPDAC), 2006, tutorial.
[2]
V. De and S. Borkar, "Technology and design challenges for low power and high performance," in Proc. Int. Symp. on Low Power Electronics and Design(ISLPED), Aug. 1999, pp. 163--168.
[3]
I. A. Ferzli and F. N. Najm, "Statistical estimation of leakage-induced power grid voltage drop considering within-die process variations," in Proc. Design Automation Conf. (DAC), 2003, pp. 865--859.
[4]
---, "Statistical verification of power grids considering process-induced leakage current variations," in Proc. Int. Conf. on Computer Aided Design (ICCAD), 2003, pp. 770--777.
[5]
R. G. Ghanem and P. D. Spanos, Stochastic Finite Elements: A Spectral Approach. Dover Publications, 2003.
[6]
P. Ghanta, S. Vrudhula, and S. Bhardwaj, "Stochasic variational analysis of large power grids considering intra-die correlations," in Proc. Design Automation Conf. (DAC), July 2006, pp. 211--216.
[7]
P. Ghanta, S. Vrudhula, R. Panda, and J. Wang, "Stochastic power grid analysis considering process variations," in Proc. European Design and Test Conf. (DATE), vol. 2, 2005, pp. 964--969.
[8]
Y. Lee, Y. Cao, T. Chen, J. Wang, and C. Chen, "HiPRIME: Hierarchical and passivity preserved interconnect macromodeling engine for rlkc power delivery," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 24, no. 6, pp. 797--806, 2005.
[9]
N. Mi, J. Fan, and S. X.-D. Tan, "Analysis of power grid networks considering lognormal leakage current variations with spatial correlation," in Proc. IEEE Int. Conf. on Computer Design (ICCD), 2006, pp. 56--62.
[10]
N. Mi, J. Fan, and S. X.-D. Tan, "Simulation of power grid networks considering wires and lognormal leakage current variations," in Proc. IEEE International Workshop on Behavioral Modeling and Simulation (BMAS), 2006, pp. 73--78.
[11]
S. Nassif, "Delay variability: sources, impact and trends," in Proc. IEEE Int. Solid-State Circuits Conf., San Francisco, CA, Feb 2000, pp. 368--369.
[12]
S. Nassif, "Design for variability in DSM technologies," in Proc. Int. Symposium. on Quality Electronic Design (ISQED), San Jose, CA, Mar 2000, pp. 451--454.
[13]
S. Pant, D. Blaauw, V. Zolotov, S. Sundareswaran, and R. Panda, "A stochastic approach to power grid analysis," in Proc. Design Automation Conf. (DAC), 2004, pp. 171--176.
[14]
R. Rao, A. Srivastava, D. Blaauw, and D. Sylvester, "Statistical analysis of subthreshold leakage current for VLSI circuits," IEEE Trans. on Very Large Scale Integration (VLSI) Systems, vol. 1, no. 2, pp. 131--139, Feb 2004.
[15]
A. Srivastava, R. Bai, D. Blaauw, and D. Sylvester, "Modeling and analysis of leakage power considering within-die process variations," in Proc. Int. Symp. on Low Power Electronics and Design(ISLPED), Aug. 2002, pp. 64--67.
[16]
J. Wang, P. Ghanta, and S. Vrudhula, "Stochastic analysis of interconnect performance in the presence of process variations," in Proc. Int. Conf. on Computer Aided Design (ICCAD), Nov 2004, pp. 880--886.
[17]
J. M. Wang and T. V. Nguyen, "Extended Krylov subspace method for reduced order analysis of linear circuit with multiple sources," in Proc. Design Automation Conf. (DAC), 2003, pp. 247--252.
[18]
T. W. Williams, "EDA to the rescue of the silicon roadmap," in Proc. Int. Symposium. on Quality Electronic Design (ISQED), March 2007, keynote speech.
[19]
D. Xiu and G. Karniadakis, "Modeling uncertainty in flow simulations via generalized polynomial chaos," J. of Computational Physics, no. 187, pp. 137--167, 2003.
[20]
Y. Zou, Y. Cai, Q. Zhou, X. Hong, S. Tan, and L. Kang, "Practical implementation of stochastic parameterized model order reduction via hermite polynormial chaos," in Proc. Asia South Pacific Design Automation Conf. (ASPDAC), 2007.

Cited By

View all
  • (2009)Statistical analysis of on-chip power grid networks by variational extended truncated balanced realization methodProceedings of the 2009 Asia and South Pacific Design Automation Conference10.5555/1509633.1509707(272-277)Online publication date: 19-Jan-2009
  • (2008)Variational capacitance modeling using orthogonal polynomial methodProceedings of the 18th ACM Great Lakes symposium on VLSI10.1145/1366110.1366119(23-28)Online publication date: 4-May-2008

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '07: Proceedings of the 2007 IEEE/ACM international conference on Computer-aided design
November 2007
933 pages
ISBN:1424413826
  • General Chair:
  • Georges Gielen

Sponsors

Publisher

IEEE Press

Publication History

Published: 05 November 2007

Check for updates

Qualifiers

  • Research-article

Conference

ICCAD07
Sponsor:

Acceptance Rates

ICCAD '07 Paper Acceptance Rate 139 of 510 submissions, 27%;
Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)0
  • Downloads (Last 6 weeks)0
Reflects downloads up to 21 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2009)Statistical analysis of on-chip power grid networks by variational extended truncated balanced realization methodProceedings of the 2009 Asia and South Pacific Design Automation Conference10.5555/1509633.1509707(272-277)Online publication date: 19-Jan-2009
  • (2008)Variational capacitance modeling using orthogonal polynomial methodProceedings of the 18th ACM Great Lakes symposium on VLSI10.1145/1366110.1366119(23-28)Online publication date: 4-May-2008

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media