Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/859618.859629acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
Article

SMARTS: accelerating microarchitecture simulation via rigorous statistical sampling

Published: 01 May 2003 Publication History

Abstract

Current software-based microarchitecture simulators are many orders of magnitude slower than the hardware they simulate. Hence, most microarchitecture design studies draw their conclusions from drastically truncated benchmark simulations that are often inaccurate and misleading. This paper presents the Sampling Microarchitecture Simulation (SMARTS) framework as an approach to enable fast and accurate performance measurements of full-length benchmarks. SMARTS accelerates simulation by selectively measuring in detail only an appropriate benchmark subset. SMARTS prescribes a statistically sound procedure for configuring a systematic sampling simulation run to achieve a desired quantifiable confidence in estimates.Analysis of 41 of the 45 possible SPEC2K benchmark/input combinations show CPI and energy per instruction (EPI) can be estimated to within ±3% with 99.7% confidence by measuring fewer than 50 million instructions per benchmark. In practice, inaccuracy in microarchitectural state initialization introduces an additional uncertainty which we empirically bound to ∼2% for the tested benchmarks. Our implementation of SMARTS achieves an actual average error of only 0.64% on CPI and 0.59% on EPI for the tested benchmarks, running with average speedups of 35 and 60 over detailed simulation of 8-way and 16-way out-of-order processors, respectively.

References

[1]
D. Brooks, V. Tiwari, and M. Martonosi, "Wattch: A Framework for Architectural-Level Power Analysis and Optimizations," In Proceedings of the International Symposium on Computer Architecture, June 2000.
[2]
D. Burger and T. M. Austin, "The SimpleScalar Tool Set, Version 2.0," Technical Report 1342, Computer Sciences Department, University of Wisconsin--Madison, June 1997.
[3]
H. W. Cain, K. M. Lepak, B. A. Schwartz, and M. H. Lipasti, "Precise and Accurate Processor Simulation," In Workshop on Computer Architecture Evaluation using Commercial Workloads, HPCA, February 2002.
[4]
T. M. Conte, M. A. Hirsch, and K. N. Menezes, "Reducing State Loss for Effective Trace Sampling of Superscalar Processors," In Proceedings of the International Conference on Computer Design, October 1996.
[5]
M. Durbhakula, V. S. Pai, and S. Adve, "Improving the Accuracy vs. Speed Tradeoff for Simulating Shared-Memory Multiprocessors with ILP Processors," In Proceedings of the International Symposium on High-Performance Computer Architecture, January 1999.
[6]
S. Dwarkadas, J. R. Jump, and J. B. Sinclair, "Execution-Driven Simulation of Multiprocessors: Address and Timing Analysis," IEEE Transactions on Modeling and Computer Simulation, Volume 4, No. 4, October 1994.
[7]
J. W. Haskins and K. Skadron, "Minimal Subset Evaluation: Rapid Warm-Up for Simulated Hardware State," In Proceedings of the International Conference on Computer Design, September 2001.
[8]
W. C. Hsu, H. Chen, and P. C. Yew, "On the Predictability of Program Behavior Using Different Input Data Sets," In Workshop on Interaction between Compilers and Computer Architectures, HPCA, February 2002.
[9]
AJ KleinOsowski, J. Flynn, N. Meares, and D. J. Lilja, "Adapting the SPEC 2000 Benchmark Suite for Simulation-Based Computer Architecture Research," In IEEE Workshop on Workload Characterization, ICCD, September 2000.
[10]
T. Lafage and A. Seznec, "Choosing Representative Slices of Program Execution for Microarchitecture Simulations: A Preliminary Application to the Data Stream," In IEEE Workshop on Workload Characterization, ICCD, September 2000.
[11]
S. Laha, J. H. Patel, and R. K. Iyer, "Accurate Low-Cost Methods for Performance Evaluation of Cache Memory Systems," IEEE Transactions on Computers, Volume C-37(11), February 1988.
[12]
G. Lauterbach, "Accelerating Architectural Simulation by Parallel Execution of Trace Samples," In Hawaii International Conference on System Sciences, Volume 1: Architecture, January 1994.
[13]
P. S. Levy and S. Lemeshow, Sampling of Populations: Methods and Applications, John Wiley & Sons, Inc., 1999.
[14]
S. Nussbaum and J. E. Smith, "Modeling Superscalar Processors via Statistical Simulation," In Proceedings of the International Conference on Parallel Architectures and Compilation Techniques, September 2001.
[15]
M. Oskin, F. T. Chong, and M. K. Farrens, "HLS: Combining Statistical and Symbolic Simulation to Guide Microprocessor Designs," In Proceedings of the International Symposium on Computer Architecture, June 2000.
[16]
S. K. Reinhardt, M. D. Hill, J. R. Larus, A. R. Lebeck, J. C. Lewis, and D. A. Wood, "The Wisconsin Wind Tunnel: Virtual Prototyping of Parallel Computers," In Proceedings of the International Conference on Measurement and Modeling of Computer Systems, May 1993.
[17]
T. Sherwood, E. Perelman, G. Hamerly, and B. Calder, "Automatically Characterizing Large Scale Program Behavior," In Proceedings of the International Conference on Architectural Support for Programming Languages and Operating Systems, October 2002.
[18]
T. F. Wenisch, R. E. Wunderlich, B. Falsafi, J. C. Hoe. "Applying SMARTS to SPEC CPU2000," Technical Report 2003-1, Computer Architecture Lab at Carnegie Mellon, April 2003.

Cited By

View all
  • (2024)Conservation Voltage Reduction Impact Investigation for Personal Computing Devices Using Experimental Measurements and Computation Performance MetricsMetrology10.3390/metrology40100034:1(24-45)Online publication date: 16-Jan-2024
  • (2024)SenseDSE: Sensitivity-Based Performance Evaluation for Design Space Exploration of Microarchitecture2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546673(1-6)Online publication date: 25-Mar-2024
  • (2024)TAO: Re-Thinking DL-based Microarchitecture SimulationProceedings of the ACM on Measurement and Analysis of Computing Systems10.1145/36560128:2(1-25)Online publication date: 29-May-2024
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ISCA '03: Proceedings of the 30th annual international symposium on Computer architecture
June 2003
432 pages
ISBN:0769519458
DOI:10.1145/859618
  • Conference Chair:
  • Allan Gottlieb,
  • Program Chair:
  • Kai Li
  • cover image ACM SIGARCH Computer Architecture News
    ACM SIGARCH Computer Architecture News  Volume 31, Issue 2
    ISCA 2003
    May 2003
    422 pages
    ISSN:0163-5964
    DOI:10.1145/871656
    Issue’s Table of Contents

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 May 2003

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

ISCA03
Sponsor:
ISCA03: International Symposium on Computer Architecture
June 9 - 11, 2003
California, San Diego

Acceptance Rates

ISCA '03 Paper Acceptance Rate 36 of 184 submissions, 20%;
Overall Acceptance Rate 543 of 3,203 submissions, 17%

Upcoming Conference

ISCA '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)90
  • Downloads (Last 6 weeks)5
Reflects downloads up to 24 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)Conservation Voltage Reduction Impact Investigation for Personal Computing Devices Using Experimental Measurements and Computation Performance MetricsMetrology10.3390/metrology40100034:1(24-45)Online publication date: 16-Jan-2024
  • (2024)SenseDSE: Sensitivity-Based Performance Evaluation for Design Space Exploration of Microarchitecture2024 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE58400.2024.10546673(1-6)Online publication date: 25-Mar-2024
  • (2024)TAO: Re-Thinking DL-based Microarchitecture SimulationProceedings of the ACM on Measurement and Analysis of Computing Systems10.1145/36560128:2(1-25)Online publication date: 29-May-2024
  • (2024)Zatel: Sample Complexity-Aware Scale-Model Simulation for Ray Tracing2024 IEEE International Symposium on Performance Analysis of Systems and Software (ISPASS)10.1109/ISPASS61541.2024.00024(156-166)Online publication date: 5-May-2024
  • (2023)Rigorous Evaluation of Computer Processors with Statistical Model CheckingProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3623785(1242-1254)Online publication date: 28-Oct-2023
  • (2023)Photon: A Fine-grained Sampled Simulation Methodology for GPU WorkloadsProceedings of the 56th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3613424.3623773(1227-1241)Online publication date: 28-Oct-2023
  • (2023)Fast, Light-weight, and Accurate Performance Evaluation using Representative Datacenter BehaviorsProceedings of the 24th International Middleware Conference10.1145/3590140.3629117(220-233)Online publication date: 27-Nov-2023
  • (2023)ArchGym: An Open-Source Gymnasium for Machine Learning Assisted Architecture DesignProceedings of the 50th Annual International Symposium on Computer Architecture10.1145/3579371.3589049(1-16)Online publication date: 17-Jun-2023
  • (2023)Faster Functional Warming with Cache MergingProceedings of the DroneSE and RAPIDO: System Engineering for constrained embedded systems10.1145/3579170.3579256(39-47)Online publication date: 17-Jan-2023
  • (2023)SCFM: A Statistical Coarse-to-Fine Method to Select Cross-Microarchitecture Reliable Simulation PointsAdvanced Parallel Processing Technologies10.1007/978-981-99-7872-4_16(279-296)Online publication date: 8-Nov-2023
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media