Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/2989081.2989127acmotherconferencesArticle/Chapter ViewAbstractPublication PagesmemsysConference Proceedingsconference-collections
extended-abstract

AWARD: Approximation-aWAre Restore in Further Scaling DRAM

Published: 03 October 2016 Publication History

Abstract

DRAM further scaling becomes more and more challenging, making restore operation an serious issue in the near future. Fortunately, a wide range of modern applications are able to tolerate error or inexactness, providing a new dimension to mitigate the slow-restore issue. And thus, we can trade-off acceptable QoS loss in those applications to accelerate restore operations, and further to achieve performance and energy improvements. In this extended research abstract, we briefly explore DRAM restore-based approximate computing, and present a preliminary evaluation on impacts of quality-of-service (QoS) degradation and performance speedup. We show that restore-based approximate computing is a challenging work, and dedicated error correction/tolerance techniques are needed to balance QoS and performance.

References

[1]
Raytracer: http://www.planet-source-code.com/vb/scripts/raytracer. http://www.planet-source-code.com/vb/scripts/ShowCode.asp?txtCodeId=5590&lngWId=2.
[2]
SciMark2. http://math.nist.gov/scimark2/.
[3]
S. Achour and M. C. Rinard. Approximate computation with outlier detection in Topaz. In OOPSLA, pages 711--730, 2015.
[4]
W. Baek, T. M. Chilimbi, et al. Green: a framework for supporting energy-conscious programming using controlled approximation. In PLDI, pages 198--209, 2010.
[5]
I. Bhati, Z. Chishti, et al. Flexible auto-refresh: Enabling scalable and energy-efficient DRAM refresh reductions. In ISCA, pages 235--246, 2015.
[6]
L. N. Chakrapani, B. E. S. Akgul, et al. Ultra-efficient (embedded) SOC architectures based on probabilistic CMOS (PCMOS) technology. In DATE, pages 1110--1115, 2006.
[7]
B. R. Childers, J. Yang, et al. Achieving yield, density and performance effective DRAM at extreme technology sizes. In MEMSYS, pages 78--84, 2015.
[8]
M. d. Kruijf, S. Nomura, et al. Relax: an architectural framework for software recovery of hardware faults. In ISCA, pages 497--508, 2011.
[9]
H. Esmaeilzadeh, A. Sampson, et al. Architecture support for disciplined approximate programming. In ASPLOS, pages 301--312, 2012.
[10]
K. Flautner, N. S. Kim, et al. Drowsy caches: Simple techniques for reducing leakage power. In ISCA, pages 148--157, 2002.
[11]
M. Ghosh and H. S. Lee. Smart refresh: An enahnced memory controller design for reducing energy in conventional and 3D die-stacked DRAMs. In MICRO, pages 134--145, 2007.
[12]
Q. Guo, K. Strauss, et al. High-density image storage using approximate memory cells. In ASPLOS, 2016.
[13]
M. Jung, D. M. Mathew, et al. Efficient reliability management in SoCs - an approximate DRAM perspective. In ASPDAC, 2016.
[14]
M. Jung, E. Zulian, et al. Omitting refresh: A case study for commodity and Wide I/O DRAMs. In MEMSYS, 2015.
[15]
U. Kang, H. s. Yu, et al. Co-architecting controllers and DRAM to enhance DRAM process scaling. In The Memory Forum, pages 1--4, 2014.
[16]
Y. Kim, R. Daly, et al. Flipping bits in memory without accessing them: an experimental study of DRAM disturbance errors. In ISCA, pages 361--372, 2014.
[17]
D. Lee, Y. Kim, et al. Tiered-latency DRAM: A low latency and low cost DRAM architecture. In HPCA, pages 615--626, 2013.
[18]
J. Liu, B. Jaiyen, et al. RAIDR: Retention-aware intelligent DRAM refresh. In ISCA, pages 1--12, 2012.
[19]
S. Liu, K. Pattabiraman, et al. Flikker: saving DRAM refresh-power through critical data partitioning. In ASPLOS, pages 213--224, 2011.
[20]
J. Lucas, M. Alvarez-Mesa, et al. Sparkk: Quality-scalable approximate storage in DRAM. In The Memory Forum, pages 1--6, 2014.
[21]
J. S. Miguel, M. Badr, et al. Load value approximation. In MICRO, pages 127--139, 2014.
[22]
P. J. Nair, D.-H. Kim, et al. ArchShield: architectural framework for assisting DRAM scaling by tolerating high error rates. In ISCA, pages 72--83, 2013.
[23]
J. M. Park, Y. S. Hwang, et al. 20nm DRAM: A new beginning of another revolution. In IEDM, pages 26.5.1--26.5.4, 2015.
[24]
A. Raha, H. Jayakumar, et al. Quality-aware data allocation in approximate DRAM. In CASES, pages 89--98, 2015.
[25]
A. Sampson, W. Dietl, et al. EnerJ: approximate data types for safe and general low-power computation. In PLDI, pages 164--174, 2011.
[26]
A. Sampson, J. Nelson, et al. Approximate storage in solid-state memories. In MICRO, pages 25--36, 2013.
[27]
W. Shin, J. Yang, et al. NUAT: A non-uniform access time memory controller. In HPCA, pages 464--475, 2014.
[28]
Y. H. Son, O. Seongil, et al. Reducing memory access latency with asymmetric DRAM bank organizations. In ISCA, pages 380--391, 2013.
[29]
A. Yazdanbakhsh, D. Mahajan, et al. AXBENCH. In IEEE Design and Test, special issue on Computing in the Dark Silicon Era, 2016.
[30]
X. Zhang, Y. Zhang, et al. Exploiting DRAM restore time variations in deep sub-micron scaling. In DATE, pages 477--482, 2015.
[31]
X. Zhang, Y. Zhang, et al. Restore truncation for performance improvement in future DRAM systems. In HPCA, pages 543--554, 2016.

Cited By

View all
  • (2022)Rebirth-FTL: Lifetime Optimization via Approximate Storage for NAND Flash MemoryIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.312317741:10(3276-3289)Online publication date: Oct-2022
  • (2020)Circuit-Level Techniques for Logic and Memory Blocks in Approximate Computing SystemsxProceedings of the IEEE10.1109/JPROC.2020.3020792108:12(2150-2177)Online publication date: Dec-2020
  • (2019)EDENProceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3352460.3358280(166-181)Online publication date: 12-Oct-2019
  • Show More Cited By

Index Terms

  1. AWARD: Approximation-aWAre Restore in Further Scaling DRAM

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Other conferences
      MEMSYS '16: Proceedings of the Second International Symposium on Memory Systems
      October 2016
      463 pages
      ISBN:9781450343053
      DOI:10.1145/2989081
      Permission to make digital or hard copies of part or all of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for third-party components of this work must be honored. For all other uses, contact the Owner/Author.

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 03 October 2016

      Check for updates

      Author Tags

      1. Approximate Computing
      2. DRAM Scaling
      3. Slow Restore

      Qualifiers

      • Extended-abstract
      • Research
      • Refereed limited

      Conference

      MEMSYS '16

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 24 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2022)Rebirth-FTL: Lifetime Optimization via Approximate Storage for NAND Flash MemoryIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.312317741:10(3276-3289)Online publication date: Oct-2022
      • (2020)Circuit-Level Techniques for Logic and Memory Blocks in Approximate Computing SystemsxProceedings of the IEEE10.1109/JPROC.2020.3020792108:12(2150-2177)Online publication date: Dec-2020
      • (2019)EDENProceedings of the 52nd Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3352460.3358280(166-181)Online publication date: 12-Oct-2019
      • (2017)Using run-time reverse-engineering to optimize DRAM refreshProceedings of the International Symposium on Memory Systems10.1145/3132402.3132419(115-124)Online publication date: 2-Oct-2017

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media