Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/2451916.2451959acmconferencesArticle/Chapter ViewAbstractPublication PagesispdConference Proceedingsconference-collections
research-article

An improved benchmark suite for the ISPD-2013 discrete cell sizing contest

Published: 24 March 2013 Publication History

Abstract

Gate sizing and threshold voltage selection is an important step in the VLSI design process to optimize power and performance of a given netlist. In this paper, we provide an overview of the ISPD-2013 Discrete Cell Sizing Contest. Compared to the ISPD-2012 Contest, we propose improvements in terms of the benchmark suite and the timing models utilized. In this paper, we briefly describe the contest, and provide some details about the standard cell library, benchmark suite, timing infrastructure and the evaluation metrics.

References

[1]
M. R. C. M. Berkelaar and J. A. G. Jess. Gate sizing in MOS digital circuits with linear programming. In Proc. of DATE, pages 217--221, 1990.
[2]
C. P. Chen, C. C.-N. Chu, and D. F. Wong. Fast and exact simultaneous gate and wire sizing by Lagrangian relaxation. IEEE Trans. on Computer-Aided Design, 18(7):1014--1025, July 1999.
[3]
D. Chinnery and K. Keutzer. Linear programming for sizing, vth and vdd assignment. In Proc. of ISLPED, pages 149--154, 2005.
[4]
H. Chou, Y.-H. Wang, and C. C.-P. Chen. Fast and effective gate sizing with multiple-Vt assignment using generalized Lagrangian relaxation. In Proc. of ASPDAC, pages 381--386, 2005.
[5]
M. M. Ozdal, C. Amin, A. Ayupov, S. Burns, G. Wilke, and C. Zhuo. The ISPD-2012 discrete cell sizing contest and benchmark suite. In ACM International Symposium on Physical Design, pages 161--164, 2012.
[6]
M. M. Ozdal, S. Burns, and J. Hu. Algorithms for gate sizing and device parameter selection for high-performance designs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems (TCAD), 31:1558--1571, 2012.
[7]
M. M. Ozdal, S. Burns, and J. Hu. Gate sizing and device technology selection algorithms for high-performance industrial designs. In Proc. of ICCAD, Nov. 2011.
[8]
M. Rahman, H. Tennakoon, and C. Sechen. Power reduction via near-optimal library-based cell-size selection. In Proc. of DATE, 2011.
[9]
H. Ren and S. Dutt. A network-flow based cell sizing algorithm. In Workshop Notes, Int'l Workshop on Logic Synthesis, 2008.
[10]
S. Roy, W. Chen, C. C.-P. Chen, and Y. H. Hu. Numerically convex forms and their application in gate sizing. IEEE Trans. on Computer-Aided Design, 26(9):1637--1647, Sept. 2007.
[11]
H. Tennakoon and C. Sechen. Gate sizing using Lagrangian relaxation combined with a fast gradient-based pre-processing step. In Proc. of ICCAD, pages 395--402, 2002.
[12]
J. Wang, D. Das, and H. Zhou. Gate sizing by Lagrangian relaxation revisited. IEEE Trans. on Computer-Aided Design, 28(7):1071--1084, July 2009.

Cited By

View all
  • (2024)Fast and Accurate Aging-Aware Cell Timing Model via Graph LearningIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2023.329891771:1(156-160)Online publication date: Jan-2024
  • (2024)ANN-based Accurate and Fast Post-Route QoR Data Prediction Methodology from Pre-Clock Tree Synthesis by Skipping CTS and Routing2024 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS58744.2024.10557874(1-5)Online publication date: 19-May-2024
  • (2023)Task-Based Parallel Programming for Gate SizingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319749042:4(1309-1322)Online publication date: Apr-2023
  • Show More Cited By

Index Terms

  1. An improved benchmark suite for the ISPD-2013 discrete cell sizing contest

        Recommendations

        Comments

        Please enable JavaScript to view thecomments powered by Disqus.

        Information & Contributors

        Information

        Published In

        cover image ACM Conferences
        ISPD '13: Proceedings of the 2013 ACM International symposium on Physical Design
        March 2013
        194 pages
        ISBN:9781450319546
        DOI:10.1145/2451916
        Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

        Sponsors

        Publisher

        Association for Computing Machinery

        New York, NY, United States

        Publication History

        Published: 24 March 2013

        Permissions

        Request permissions for this article.

        Check for updates

        Qualifiers

        • Research-article

        Conference

        ISPD'13
        Sponsor:
        ISPD'13: International Symposium on Physical Design
        March 24 - 27, 2013
        Nevada, Stateline, USA

        Acceptance Rates

        Overall Acceptance Rate 62 of 172 submissions, 36%

        Upcoming Conference

        ISPD '25
        International Symposium on Physical Design
        March 16 - 19, 2025
        Austin , TX , USA

        Contributors

        Other Metrics

        Bibliometrics & Citations

        Bibliometrics

        Article Metrics

        • Downloads (Last 12 months)16
        • Downloads (Last 6 weeks)0
        Reflects downloads up to 22 Sep 2024

        Other Metrics

        Citations

        Cited By

        View all
        • (2024)Fast and Accurate Aging-Aware Cell Timing Model via Graph LearningIEEE Transactions on Circuits and Systems II: Express Briefs10.1109/TCSII.2023.329891771:1(156-160)Online publication date: Jan-2024
        • (2024)ANN-based Accurate and Fast Post-Route QoR Data Prediction Methodology from Pre-Clock Tree Synthesis by Skipping CTS and Routing2024 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS58744.2024.10557874(1-5)Online publication date: 19-May-2024
        • (2023)Task-Based Parallel Programming for Gate SizingIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.319749042:4(1309-1322)Online publication date: Apr-2023
        • (2023)A Variation-Aware Lagrangian Relaxation-Based Gate Sizing Framework for Timing Optimization2023 International Symposium of Electronics Design Automation (ISEDA)10.1109/ISEDA59274.2023.10218448(309-313)Online publication date: 8-May-2023
        • (2022)Integrating LR Gate Sizing in an Industrial Place-and-Route FlowProceedings of the 2022 International Symposium on Physical Design10.1145/3505170.3511480(39-48)Online publication date: 13-Apr-2022
        • (2022)OPDB: A Scalable and Modular Design BenchmarkIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.309679441:6(1878-1887)Online publication date: Jun-2022
        • (2022)Scalable Synthetic Circuit Generation using Geometry Embedding for CAD Tool Assessment2022 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS48785.2022.9937638(3239-3243)Online publication date: 28-May-2022
        • (2021)Incremental Lagrangian Relaxation Based Discrete Gate Sizing and Threshold Voltage AssignmentTechnologies10.3390/technologies90400929:4(92)Online publication date: 26-Nov-2021
        • (2021)ML-Based Wire RC Prediction in Monolithic 3D ICs with an Application to Full-Chip OptimizationProceedings of the 2021 International Symposium on Physical Design10.1145/3439706.3447266(75-82)Online publication date: 22-Mar-2021
        • (2021)Autonomous Application of Netlist Transformations Inside Lagrangian Relaxation-Based OptimizationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2020.302554140:8(1672-1686)Online publication date: Aug-2021
        • Show More Cited By

        View Options

        Get Access

        Login options

        View options

        PDF

        View or Download as a PDF file.

        PDF

        eReader

        View online with eReader.

        eReader

        Media

        Figures

        Other

        Tables

        Share

        Share

        Share this Publication link

        Share on social media