Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/2333660.2333748acmconferencesArticle/Chapter ViewAbstractPublication PagesislpedConference Proceedingsconference-collections
research-article

Something old and something new: P-states can borrow microarchitecture techniques too

Published: 30 July 2012 Publication History

Abstract

The limited utility of voltage scaling in nano-scale technologies has led high-performance processors to rely increasingly on frequency scaling for power management. However, frequency scaling provides only a linear dynamic power reduction.
In this paper, we make a case for dynamically disabling performance optimizations, leveraging previously proposed low-power techniques, for more efficient power-performance trade-offs. By carefully selecting which optimizations to turn off, our lowest P-state consumes less than half the power achieved by frequency scaling, on average, for comparable performance. For all workloads, our approach performs as well or better than DVFS, demonstrating the effectiveness of our approach.

References

[1]
Alameldeen et al. Variability in architectural simulation of multi-threaded workloads. In Proc. of HPCA, February 2003.
[2]
Albonesi et al. Dynamically tuning processor resources with adaptive processing. IEEE Computer, 36(2):49--58, 2003.
[3]
Brooks et al. Wattch: A framework for architecture-level power analysis and optimization. Proc. of 27th ISCA, 2000.
[4]
Cebrian et al. Efficient microarchitecture policies for accurately adapting to power constraints. In Proc. of the Intl. Symp. on Parallel & Distributed Processing, 2009.
[5]
Chandrakasan et al. Technologies for ultradynamic voltage scaling. In Proc. of the IEEE, 2010.
[6]
Dreslinski et al. Near-threshold computing: reclaiming Moore's Law through energy efficient integrated circuits. Proc. of the IEEE, 2010.
[7]
Flautner et al. Drowsy caches: simple techniques for reducing leakage power. In Proc. of the 29th ISCA, 2002.
[8]
Gochman et al. The Intel Pentium M processor: microarchitecture and performance. Intel Tech. J., 2003.
[9]
Henning. SPEC CPU2006 Benchmark Descriptions. Computer Architecture News, 2006.
[10]
Ipek et al. Core fusion: accommodating software diversity in chip multiprocessors. In Proc. of the 34th ISCA, 2007.
[11]
Kalla et al. Power7: IBM's next-generation server processor. IEEE Micro, 2010.
[12]
Kumar et al. Single-ISA heterogeneous multi-core architectures: the potential for processor power reduction. In Proc. of the 36th Symp. on Microarchitecture, 2003.
[13]
Magnusson et al. Simics: A full system simulation platform. IEEE Computer, 2002.
[14]
Manne et al. Pipeline gating: speculation control for energy reduction. In Proc. of the 25th ISCA, 1998.
[15]
Martin et al. Multifacet's general execution-driven multiprocessor simulator (GEMS). Comp. Arch. News, 2005.
[16]
Moshovos. Checkpointing alternatives for high performance, power-aware processors. In Proc. of ISLPED 2003.
[17]
Naveh et al. Power and thermal management in the Intel Core Duo processor. Intel Technology Journal. 2006.
[18]
Rogers et al. The Core-C6 (CC6) Sleep State of the AMD Bobcat x86 Microprocessor. In Proc. of ISLPED, 2012.
[19]
Seznec et al. A case for (partially) Tagged Geometric history length branch prediction. J. of Instr. Level Parallelism, 2006.
[20]
Shyamkumar et al. CACTI 5.1. Technical Report HPL-2008--20, Hewlett Packard Labs, 2008.
[21]
Vandeputte et al. Offline phase analysis and optimization for multi-configuration processors. Architectures, Modeling, and Simulation, 2005.

Cited By

View all
  • (2022)Cooperative Slack Management: Saving Energy of Multicore Processors by Trading Performance Slack Between QoS-Constrained ApplicationsACM Transactions on Architecture and Code Optimization10.1145/350555919:2(1-27)Online publication date: 31-Jan-2022
  • (2020)Coordinated Management of Processor Configuration and Cache Partitioning to Optimize Energy under QoS Constraints2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS47924.2020.00067(590-601)Online publication date: May-2020
  • (2015)ChryssoProceedings of the 12th ACM International Conference on Computing Frontiers10.1145/2742854.2742885(1-8)Online publication date: 6-May-2015
  • Show More Cited By

Index Terms

  1. Something old and something new: P-states can borrow microarchitecture techniques too

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISLPED '12: Proceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design
    July 2012
    438 pages
    ISBN:9781450312493
    DOI:10.1145/2333660
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 30 July 2012

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. dynamic microarchitectural power saving
    2. dynamic voltage and frequency scaling
    3. frequency scaling
    4. p-states
    5. power gating

    Qualifiers

    • Research-article

    Conference

    ISLPED'12
    Sponsor:
    ISLPED'12: International Symposium on Low Power Electronics and Design
    July 30 - August 1, 2012
    California, Redondo Beach, USA

    Acceptance Rates

    Overall Acceptance Rate 398 of 1,159 submissions, 34%

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)2
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 21 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2022)Cooperative Slack Management: Saving Energy of Multicore Processors by Trading Performance Slack Between QoS-Constrained ApplicationsACM Transactions on Architecture and Code Optimization10.1145/350555919:2(1-27)Online publication date: 31-Jan-2022
    • (2020)Coordinated Management of Processor Configuration and Cache Partitioning to Optimize Energy under QoS Constraints2020 IEEE International Parallel and Distributed Processing Symposium (IPDPS)10.1109/IPDPS47924.2020.00067(590-601)Online publication date: May-2020
    • (2015)ChryssoProceedings of the 12th ACM International Conference on Computing Frontiers10.1145/2742854.2742885(1-8)Online publication date: 6-May-2015
    • (2015)Modeling power consumption for DVFS policies2015 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS.2015.7169024(1879-1882)Online publication date: May-2015
    • (2013)FlickerACM SIGARCH Computer Architecture News10.1145/2508148.248592441:3(13-23)Online publication date: 23-Jun-2013
    • (2013)FlickerProceedings of the 40th Annual International Symposium on Computer Architecture10.1145/2485922.2485924(13-23)Online publication date: 23-Jun-2013

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media