Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1837274.1837441acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Crosstalk noise and bit error rate analysis for optical network-on-chip

Published: 13 June 2010 Publication History

Abstract

Crosstalk noise is an intrinsic characteristic of photonic devices used by optical networks-on-chip (ONoCs) as well as a potential issue. For the first time, this paper analyzed and modeled the crosstalk noise, signal-to-noise ratio (SNR), and bit error rate (BER) of optical routers and ONoCs. The analytical models for crosstalk noise, minimum SNR, and maximum BER in meshbased ONoCs are presented. An automated crosstalk analyzer for optical routers is developed. We find that crosstalk noise significantly limits the scalability of ONoCs. For example, due to crosstalk noise, the maximum BER is 10-3 on the 8x8 mesh-based ONoC using an optimized crossbar-based optical router. To achieve the BER of 10-9 for reliable transmissions, the maximum ONoC size is 6x6. A novel compact high-SNR optical router is proposed to improve the maximum ONoC size to 8x8.

References

[1]
M. Briere, B. Girodias, et al, "System Level Assessment of an Optical NoC in an MPSoC Platform", DATE, 2007.
[2]
M. Petracca, B. G. Lee, et al, "Design Exploration of Optical Interconnection Networks for Chip Multiprocessors," HOTI, 2008.
[3]
H. Gu, W. Zhang, J. Xu, "A Low-power Fat Tree-based Optical Network-on-Chip for Multiprocessor System-on-Chip", DATE, 2009.
[4]
F. Xia, M. Rooks, et al, "Ultra-compact high order ring resonator filters using submicron silicon photonic wires for on-chip optical interconnects," Optical Express 15, No. 19, pp. 11934--11941 2007.
[5]
W. Bogaerts, P. Dumon, et al, "Low-loss, low-cross-talk crossings for silicon-on-insulator nanophotonic waveguides," Optical Letter, vol. 32, no. 19, pp. 2801--2803, 2007.
[6]
25S. Haykin, Communication systems, John Wiley, New York, 2003.

Cited By

View all
  • (2023)LightR: A Fault-Tolerant Wavelength-Routed Optical Networks-on-Chip TopologyApplied Sciences10.3390/app1315887113:15(8871)Online publication date: 1-Aug-2023
  • (2023)Accurate Infinite-Order Crosstalk Calculation for Optical Networks-on-ChipJournal of Lightwave Technology10.1109/JLT.2022.321015941:1(4-16)Online publication date: 1-Jan-2023
  • (2023)SNR Modeling and Analysis for Two Dimensional and Three Dimensional ONoC2023 IEEE 8th International Conference for Convergence in Technology (I2CT)10.1109/I2CT57861.2023.10126293(1-5)Online publication date: 7-Apr-2023
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
DAC '10: Proceedings of the 47th Design Automation Conference
June 2010
1036 pages
ISBN:9781450300025
DOI:10.1145/1837274
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 13 June 2010

Permissions

Request permissions for this article.

Check for updates

Author Tags

  1. BER
  2. SNR
  3. crosstalk
  4. optical network-on-chip

Qualifiers

  • Research-article

Conference

DAC '10
Sponsor:

Acceptance Rates

Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

Upcoming Conference

DAC '25
62nd ACM/IEEE Design Automation Conference
June 22 - 26, 2025
San Francisco , CA , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)58
  • Downloads (Last 6 weeks)8
Reflects downloads up to 22 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2023)LightR: A Fault-Tolerant Wavelength-Routed Optical Networks-on-Chip TopologyApplied Sciences10.3390/app1315887113:15(8871)Online publication date: 1-Aug-2023
  • (2023)Accurate Infinite-Order Crosstalk Calculation for Optical Networks-on-ChipJournal of Lightwave Technology10.1109/JLT.2022.321015941:1(4-16)Online publication date: 1-Jan-2023
  • (2023)SNR Modeling and Analysis for Two Dimensional and Three Dimensional ONoC2023 IEEE 8th International Conference for Convergence in Technology (I2CT)10.1109/I2CT57861.2023.10126293(1-5)Online publication date: 7-Apr-2023
  • (2023)Network-on-Chip and Photonic Network-on-Chip Basic Concepts: A SurveyJournal of Electronic Testing10.1007/s10836-023-06046-x39:1(11-25)Online publication date: 28-Feb-2023
  • (2022)A Reliability Concern on Photonic Neural Networks2022 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE54114.2022.9774661(1059-1064)Online publication date: 14-Mar-2022
  • (2022)Thermal-aware optical-electrical routing codesign for on-chip signal communicationsProceedings of the 59th ACM/IEEE Design Automation Conference10.1145/3489517.3530404(1279-1284)Online publication date: 10-Jul-2022
  • (2022)Crosstalk-Aware Automatic Topology Customization and Optimization for Wavelength-Routed Optical NoCsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.315124741:12(5261-5274)Online publication date: Dec-2022
  • (2022)On-Chip Optical Routing With Provably Good Algorithms for Path Clustering and AssignmentIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.313385641:11(4653-4666)Online publication date: Nov-2022
  • (2022)Topological Structure and Physical Layout Co-Design for Wavelength-Routed Optical Networks-on-ChipIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2021.310114541:7(2237-2249)Online publication date: Jul-2022
  • (2022)A light-emitting electrochemical artificial synapse with dual output of photoelectric signals具有光电信号双输出的发光电化学人工突触Science China Materials10.1007/s40843-021-2029-y65:9(2511-2520)Online publication date: 28-Apr-2022
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media