Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1629911.1630019acmconferencesArticle/Chapter ViewAbstractPublication PagesdacConference Proceedingsconference-collections
research-article

Handling don't-care conditions in high-level synthesis and application for reducing initialized registers

Published: 26 July 2009 Publication History

Abstract

Don't-care conditions provide additional flexibility in logic synthesis and optimization. However, most work only focuses on the gate level because it is difficult to handle such conditions accurately at the behavior and register transfer levels, which is problematic since the trend is to move toward high-level synthesis. In this work we propose innovative methods to handle such conditions accurately at high-level designs. In addition, we propose two novel algorithms based on our new methods to minimize the number of registers that need to be initialized at the architecture level, which can reduce the routing resources used by the reset signals and alleviate the routing problem. Our results show that we can identify 53% of the registers that can be uninitialized in a 5-stage pipelined processor within 5 minutes, demonstrating the effectiveness of our approach.

References

[1]
D. Brand, R. A. Bergamaschi and L. Stok, "Be Careful with Don't Cares," DAC'95, pp. 83--86.
[2]
L. Bening, "A Two-State Methodology for RTL Logic Simulation," DAC'99, pp. 672--677.
[3]
R. A. Bergamaschi, D. Brand, L. Stok, M. Berkelaar, and S. Prakash, "Efficient Use of Large Don't Cares in High-Level and Logic Synthesis," ICCAD'95, pp. 272--278.
[4]
K. H. Chang, I. L. Markov, and V. Bertacco, "Functional Design Errors in Digital Circuits: Diagnosis, Correction and Repair", Springer 2008.
[5]
D. W. Currie, A. J. Hu, and S. Rajan, "Automatic Formal Verification of DSP Software," DAC'00, pp. 130--135.
[6]
M. Damiani and G. De Micheli, "OObservability Don't Care Sets and Boolean Relations," ICCAD'90, pp. 502--505.
[7]
C. Haufe and F. Rogin, "Ad-Hoc Translations to Close Verilog Semantics Gap," workshop on IEEE DDECS'08, pp. 1--6.
[8]
J. L. Hensessy, and D. J. Patterson, "Computer Architecture: A Quantitative Approach, 2nd edition," Morgan Kaufman, 1996.
[9]
A. Mishchenko and R. K. Brayton, "SAT-Based Complete Don't-Care Computation for Network Optimization," DATE'05, pp. 412--417.
[10]
M. D. Moffitt, J. A. Roy, and I. L. Markov, "The Coming of Age of (Academic) Global Routing," ISPD'08, pp. 148--155.
[11]
R. Ranjan, Y. Antonioli, A. Hunter, and O. Petlin, "Formal Verification Enables Safe X Handling," Dec. 2008. http://www.scdsource.com/article.php?id=324
[12]
H. Savoj and R. K. Brayton, "Observability Relations and Observability Don't Cares," ICCAD'91, pp. 518--521.
[13]
A. Smith, A. Veneris and A. Viglas, "Design Diagnosis Using Boolean Satisfiability," ASPDAC'04, pp. 218--223.
[14]
M. Turpin, "The Dangers of Living with an X," SNUG Boston, 2003.
[15]
Q. Zhu, N. Kitchen, A. Kuehlmann, and A. Sangiovanni-Vincentelli, "SAT Sweeping with Local Observability Don't-Cares," DAC'06, pp. 229--234.
[16]
Avery Design Systems Inc., http://www.avery-design.com
[17]
Bug UnderGround, http://bug.eecs.umich.edu

Cited By

View all
  • (2020)A Unified Formal Model for Proving Security and Reliability Properties2020 IEEE 29th Asian Test Symposium (ATS)10.1109/ATS49688.2020.9301533(1-6)Online publication date: 23-Nov-2020
  • (2016)Sequential analysis driven reset optimization to improve power, area and routabilityProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2971936(551-554)Online publication date: 14-Mar-2016
  • (2016)Handling Nondeterminism in Logic Simulation so That Your Waveform Can Be Trusted AgainIEEE Design & Test10.1109/MDT.2011.7533:6(63-71)Online publication date: Dec-2016
  • Show More Cited By

Index Terms

  1. Handling don't-care conditions in high-level synthesis and application for reducing initialized registers

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      DAC '09: Proceedings of the 46th Annual Design Automation Conference
      July 2009
      994 pages
      ISBN:9781605584973
      DOI:10.1145/1629911
      Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

      Sponsors

      Publisher

      Association for Computing Machinery

      New York, NY, United States

      Publication History

      Published: 26 July 2009

      Permissions

      Request permissions for this article.

      Check for updates

      Author Tags

      1. RTL symbolic simulation
      2. don't-care (DC)
      3. synthesis

      Qualifiers

      • Research-article

      Funding Sources

      Conference

      DAC '09
      Sponsor:
      DAC '09: The 46th Annual Design Automation Conference 2009
      July 26 - 31, 2009
      California, San Francisco

      Acceptance Rates

      Overall Acceptance Rate 1,770 of 5,499 submissions, 32%

      Upcoming Conference

      DAC '25
      62nd ACM/IEEE Design Automation Conference
      June 22 - 26, 2025
      San Francisco , CA , USA

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)2
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 21 Sep 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2020)A Unified Formal Model for Proving Security and Reliability Properties2020 IEEE 29th Asian Test Symposium (ATS)10.1109/ATS49688.2020.9301533(1-6)Online publication date: 23-Nov-2020
      • (2016)Sequential analysis driven reset optimization to improve power, area and routabilityProceedings of the 2016 Conference on Design, Automation & Test in Europe10.5555/2971808.2971936(551-554)Online publication date: 14-Mar-2016
      • (2016)Handling Nondeterminism in Logic Simulation so That Your Waveform Can Be Trusted AgainIEEE Design & Test10.1109/MDT.2011.7533:6(63-71)Online publication date: Dec-2016
      • (2015)Scalable sequence-constrained retention register minimization in power gating designProceedings of the 52nd Annual Design Automation Conference10.1145/2744769.2744905(1-6)Online publication date: 7-Jun-2015
      • (2012)Improving gate-level simulation accuracy when unknowns existProceedings of the 49th Annual Design Automation Conference10.1145/2228360.2228528(936-940)Online publication date: 3-Jun-2012
      • (2010)Optimizing blocks in an SoC using symbolic code-statement reachability analysisProceedings of the 2010 Asia and South Pacific Design Automation Conference10.5555/1899721.1899902(787-792)Online publication date: 18-Jan-2010
      • (2010)Finding reset nondeterminism in RTL designsProceedings of the Conference on Design, Automation and Test in Europe10.5555/1870926.1871289(1494-1499)Online publication date: 8-Mar-2010
      • (2010)Accurately handle don't-care conditions in high-level designs and application for reducing initialized registersIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2010.204290529:4(646-651)Online publication date: 1-Apr-2010
      • (2010)Finding reset nondeterminism in RTL designs - scalable X-analysis methodology and case study2010 Design, Automation & Test in Europe Conference & Exhibition (DATE 2010)10.1109/DATE.2010.5457048(1494-1499)Online publication date: Mar-2010
      • (2010)Optimizing blocks in an SoC using symbolic code-statement reachability analysis2010 15th Asia and South Pacific Design Automation Conference (ASP-DAC)10.1109/ASPDAC.2010.5419784(787-792)Online publication date: Jan-2010

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media