Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/165123.165128acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
Article
Free access

Architectural support for translation table management in large address space machines

Published: 01 May 1993 Publication History

Abstract

Virtual memory page translation tables provide mappings from virtual to physical addresses. When the hardware controlled Translation Lookaside Buffers (TLBs) do not contain a translation, these tables provide the translation. Approaches to the structure and management of these tables vary from full hardware implementations to complete software based algorithms.
The size of the virtual address space used by processes is rapidly growing beyond 32 bits of address. As the utilized address space increases, new problems and issues surface. Traditional methods for managing the page translation tables are inappropriate for large address space architectures.
The Hashed Page Table (HPT), described here, provides a very fast and space efficient translation table that reduces overhead by splitting TLB management responsibilities between hardware and software. Measurements demonstrate its applicability to a diverse range of operating systems and workloads and, in particular, to large virtual address space machines. In simulations of over 4 billion instructions, improvement of 5 to 10% were observed.

References

[1]
Apollo Computer Inc. Series 10000 Technical Reference Library Volume 1 - Processors and Instruction Set. Order No. 011720-A00. Apollo, Chelmsford MA, 1988.
[2]
Albert Chang and Mark F. Mergen, 801 Storage: Architecture and Programming, A CM Transactions on Computer Systems, Vol 6, No 1, February 1988, pp 28-50.
[3]
Doug Clark and Joel Emer. Performance of the VAX- 11/780 translation buffer: Simulation and measurement. A CM Transactions on Computer Systems, 3(1 ):31-62, February 1985.
[4]
Digital Equipment Corporation. VAX Architecture Reference Manual. Doc. EK-VAXAR-RM-002. DEC, Bedford, MA, 1983.
[5]
Eric Delano, Will Walker, Jeff Yetter, Mark Forsyth. A High Speed Superscalar PA-RISC Processor. Spring Compcon '92, February 24-28, 1992, pp. 116-121.
[6]
Jim Callister. (in HP's PA-RISC performance group). Personal communication.
[7]
IBM. IBM System/38 technical developments. Order no. G580-0237, IBM, Atlanta, GA., 1978.
[8]
Jain, R. The Art of Computer Systems Performance Analysis, pages 98-101, 404-428. John Wiley & Sons, 1991.
[9]
Jog,R., Vitale,P., and Callister, J. Performance Evaluation of a Commercial Cache-Coherent Shared Memory Multiprocessor. A CM SIGMETRICS Conference on Measurement and Modeling of Computer Systems, pages 173-182, May 1990.
[10]
Donald E. Knuth The Art of Computer Programming - Volume 3: Sorting and Searching, Addison Wesley, pp 506-549, 1973.
[11]
Ruby B. Lee. Precision Architecture. Computer, January 1989.
[12]
Rosenberg, J. and Abramson, D.A. "MONADS- PC: A Capability Based Workstation to Support Software Engineering", Proc. 18th Hawaii International Conference on System Sciences, 1985, pp. 222-231.
[13]
Stone,H., High-Performance Computer Architecture, pg. 41-52. Addison-Wesley, 1987.
[14]
George Taylor, P Davies, Mike Farmwald. The TLB slice a low-cost high-speed address translation mechanism. In The 17th Annual International Symposium on Computer Architecture. May 1990. pp. 355-363.

Cited By

View all
  • (2023)Mosaic Pages: Big TLB Reach with Small PagesProceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 310.1145/3582016.3582021(433-448)Online publication date: 25-Mar-2023
  • (2023)Contiguitas: The Pursuit of Physical Memory Contiguity in DatacentersProceedings of the 50th Annual International Symposium on Computer Architecture10.1145/3579371.3589079(1-15)Online publication date: 17-Jun-2023
  • (2023)Memory-Efficient Hashed Page Tables2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA56546.2023.10071061(1221-1235)Online publication date: Mar-2023
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ISCA '93: Proceedings of the 20th annual international symposium on computer architecture
June 1993
361 pages
ISBN:0818638109
DOI:10.1145/165123
  • cover image ACM SIGARCH Computer Architecture News
    ACM SIGARCH Computer Architecture News  Volume 21, Issue 2
    Special Issue: Proceedings of the 20th annual international symposium on Computer architecture (ISCA '93)
    May 1993
    348 pages
    ISSN:0163-5964
    DOI:10.1145/173682
    Issue’s Table of Contents

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 May 1993

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

20ISCA93
Sponsor:
20ISCA93: 20th International Symposium on Computer Architecture
May 16 - 19, 1993
California, San Diego, USA

Acceptance Rates

Overall Acceptance Rate 543 of 3,203 submissions, 17%

Upcoming Conference

ISCA '25

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)145
  • Downloads (Last 6 weeks)26
Reflects downloads up to 24 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2023)Mosaic Pages: Big TLB Reach with Small PagesProceedings of the 28th ACM International Conference on Architectural Support for Programming Languages and Operating Systems, Volume 310.1145/3582016.3582021(433-448)Online publication date: 25-Mar-2023
  • (2023)Contiguitas: The Pursuit of Physical Memory Contiguity in DatacentersProceedings of the 50th Annual International Symposium on Computer Architecture10.1145/3579371.3589079(1-15)Online publication date: 17-Jun-2023
  • (2023)Memory-Efficient Hashed Page Tables2023 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA56546.2023.10071061(1221-1235)Online publication date: Mar-2023
  • (2023)vPIM: Efficient Virtual Address Translation for Scalable Processing-in-Memory Architectures2023 60th ACM/IEEE Design Automation Conference (DAC)10.1109/DAC56929.2023.10247745(1-6)Online publication date: 9-Jul-2023
  • (2022)Parallel virtualized memory translation with nested elastic cuckoo page tablesProceedings of the 27th ACM International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3503222.3507720(84-97)Online publication date: 28-Feb-2022
  • (2021)Morrigan: A Composite Instruction TLB PrefetcherMICRO-54: 54th Annual IEEE/ACM International Symposium on Microarchitecture10.1145/3466752.3480049(1138-1153)Online publication date: 18-Oct-2021
  • (2021)Exploiting Page Table Locality for Agile TLB Prefetching2021 ACM/IEEE 48th Annual International Symposium on Computer Architecture (ISCA)10.1109/ISCA52012.2021.00016(85-98)Online publication date: Jun-2021
  • (2020)Elastic Cuckoo Page TablesProceedings of the Twenty-Fifth International Conference on Architectural Support for Programming Languages and Operating Systems10.1145/3373376.3378493(1093-1108)Online publication date: 9-Mar-2020
  • (2019)Prospects for Functional Address Translation2019 IEEE 27th International Symposium on Modeling, Analysis, and Simulation of Computer and Telecommunication Systems (MASCOTS)10.1109/MASCOTS.2019.00047(370-383)Online publication date: Oct-2019
  • (2017)Performance Implications of Extended Page Tables on Virtualized x86 ProcessorsACM SIGOPS Operating Systems Review10.1145/3139645.313965251:1(38-47)Online publication date: 11-Sep-2017
  • Show More Cited By

View Options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Get Access

Login options

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media