Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1233501.1233628acmconferencesArticle/Chapter ViewAbstractPublication PagesiccadConference Proceedingsconference-collections
Article

A new paradigm for low-power, variation-tolerant circuit synthesis using critical path isolation

Published: 05 November 2006 Publication History

Abstract

Design considerations for robustness with respect to variations and low power operations typically impose contradictory design requirements. Low power design techniques such as voltage scaling, dual-Vth etc. can have a large negative impact on parametric yield. In this paper, we propose a novel paradigm for low-power variationtolerant circuit design, which allows aggressive voltage scaling. The principal idea is to (a) isolate and predict the set of possible paths that may become critical under process variations, (b) ensure that they are activated rarely, and (c) avoid possible delay failures in the critical paths by dynamically switching to two-cycle operation (assuming all standard operations are single cycle), when they are activated. This allows us to operate the circuit at reduced supply voltage while achieving the required yield. Simulation results on a set of benchmark circuits at 70nm process technology show average power reduction of 60% with less than 10% performance overhead and 18% overhead in die-area compared to conventional synthesis. Application of the proposed methodology to pipelined design is also investigated.

References

[1]
A. Srivastava et al., Statistical optimization of leakage power considering process variations using dual-Vth and sizing, DAC 2004.
[2]
S. Borkar et al., Design and reliability challenges in nanometer technologies, DAC, 2004.
[3]
D. Ernst et al., Razor: a low-power pipeline based on circuit-level timing speculation, MICRO, 2003.
[4]
X. Bai et al., Uncertainty-aware circuit optimization, DAC, 2002.
[5]
J. M. Rabaey, Digital integrated circuits, Prentice Hall, 1996.
[6]
BPTM 70nm: Berkeley predictive technology model.
[7]
L. Lavagno et al., Timed Shannon Circuits: A Power-Efficient Design Style and Synthesis Tool, DAC, 1995.
[8]
S. Bhunia et al., A novel synthesis approach for active leakage power reduction using dynamic supply gating, DAC, 2005.
[9]
S. Kundu et al., Design of robustly testable combinational logic circuits, TCAD, 1991.
[10]
Synopsys Design Compiler, www.synopsys.com.
[11]
K. Kang et al., Statistical timing analysis using levelized covariance propagation, DATE, 2005.
[12]
B. C. Paul et al., Novel sizing algorithm for yield improvement under process variation in nanometer, DAC, 2004.

Cited By

View all
  • (2015)Application-Specific Cross-Layer Optimization Based on Predictive Variable-Latency VLSI DesignACM Journal on Emerging Technologies in Computing Systems10.1145/274634112:3(1-19)Online publication date: 21-Sep-2015
  • (2014)Low Power Motion Estimation Based on Probabilistic ComputingIEEE Transactions on Circuits and Systems for Video Technology10.1109/TCSVT.2013.227362724:1(1-14)Online publication date: 1-Jan-2014
  • (2013)Variable latency VLSI design based on timing analysis, delay ATPG, and completion prediction2013 IEEE 56th International Midwest Symposium on Circuits and Systems (MWSCAS)10.1109/MWSCAS.2013.6674733(653-656)Online publication date: Aug-2013
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
ICCAD '06: Proceedings of the 2006 IEEE/ACM international conference on Computer-aided design
November 2006
147 pages
ISBN:1595933891
DOI:10.1145/1233501
Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

Sponsors

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 05 November 2006

Permissions

Request permissions for this article.

Check for updates

Qualifiers

  • Article

Conference

ICCAD06
Sponsor:

Acceptance Rates

Overall Acceptance Rate 457 of 1,762 submissions, 26%

Upcoming Conference

ICCAD '24
IEEE/ACM International Conference on Computer-Aided Design
October 27 - 31, 2024
New York , NY , USA

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)2
  • Downloads (Last 6 weeks)0
Reflects downloads up to 23 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2015)Application-Specific Cross-Layer Optimization Based on Predictive Variable-Latency VLSI DesignACM Journal on Emerging Technologies in Computing Systems10.1145/274634112:3(1-19)Online publication date: 21-Sep-2015
  • (2014)Low Power Motion Estimation Based on Probabilistic ComputingIEEE Transactions on Circuits and Systems for Video Technology10.1109/TCSVT.2013.227362724:1(1-14)Online publication date: 1-Jan-2014
  • (2013)Variable latency VLSI design based on timing analysis, delay ATPG, and completion prediction2013 IEEE 56th International Midwest Symposium on Circuits and Systems (MWSCAS)10.1109/MWSCAS.2013.6674733(653-656)Online publication date: Aug-2013
  • (2012)CCPProceedings of the 2012 ACM/IEEE international symposium on Low power electronics and design10.1145/2333660.2333695(135-140)Online publication date: 30-Jul-2012
  • (2010)Low-overhead F calibration at multiple operating points using delay-sensitivity-based path selectionACM Transactions on Design Automation of Electronic Systems10.1145/1698759.169876915:2(1-34)Online publication date: 2-Mar-2010
  • (2010)TrifectaIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2008.200749118:1(53-65)Online publication date: 1-Jan-2010
  • (2009)DynaTuneProceedings of the 2009 International Conference on Computer-Aided Design10.1145/1687399.1687430(172-179)Online publication date: 2-Nov-2009
  • (2008)Process variation tolerant pipeline design through a placement-aware multiple voltage island design styleProceedings of the conference on Design, automation and test in Europe10.1145/1403375.1403610(967-972)Online publication date: 10-Mar-2008
  • (2008)Guided Probabilistic Checksums for Error Control in Low Power Digital-FiltersProceedings of the 2008 14th IEEE International On-Line Testing Symposium10.1109/IOLTS.2008.50(239-244)Online publication date: 7-Jul-2008
  • (2008)Process Variation Tolerant Pipeline Design Through a Placement-Aware Multiple Voltage Island Design Style2008 Design, Automation and Test in Europe10.1109/DATE.2008.4484806(967-972)Online publication date: Mar-2008
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media