Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/1250662.1250699acmconferencesArticle/Chapter ViewAbstractPublication PagesiscaConference Proceedingsconference-collections
Article

Limiting the power consumption of main memory

Published: 09 June 2007 Publication History

Abstract

The peak power consumption of hardware components affects their powersupply, packaging, and cooling requirements. When the peak power consumption is high, the hardware components or the systems that use them can become expensive and bulky. Given that components and systems rarely (if ever) actually require peak power, it is highly desirable to limit power consumption to a less-than-peak power budget, based on which power supply, packaging, and cooling infrastructure scan be more intelligently provisioned.
In this paper, we study dynamic approaches for limiting the powerconsumption of main memories. Specifically, we propose four techniques that limit consumption by adjusting the power states of thememory devices, as a function of the load on the memory subsystem. Our simulations of applications from three benchmarks demonstrate that our techniques can consistently limit power to a pre-established budget. Two of the techniques can limit power with very low performance degradation. Our results also show that, when using these superior techniques, limiting power is at least as effective an energy-conservation approach as state-of-the-art technique sexplicitly designed for performance-aware energy conservation. These latter results represent a departure from current energy management research and practice.

References

[1]
M. Annavaram, E. Grochowski, and J. Shen. Mitigating Amdahl's Law Through EPI Throttling. In Proceedings of ISCA, June 2005.
[2]
F. Bellosa, S. Kellner, M.Waitz, and A. Weissel. Event-Driven Energy Accounting of Dynamic Thermal Management. In Proceedings of COLP, September 2003.
[3]
D. Brooks and M. Martonosi. Dynamic Thermal Management for High-Performance Microprocessors. In Proceedings of HPCA, January 2001.
[4]
P. Chaparro, G. Magklis, J. Gonzalez, and A. Gonzalez. Distributing the Frontend for Temperature Reduction. In Proceedings of HPCA, February 2005.
[5]
J. Choi, Y. Kim, A. Sivasubramaniam, J. Srebric, Q. Wang, and J. Lee. Modeling and Managing Thermal Profiles of Rack-Mounted Servers with ThermoStat. In Proceedings of HPCA, February 2007.
[6]
Standard Performance Evaluation Corporation. Spec2000. http://www.spec.org.
[7]
V. Delaluz, M. Kandemir, and I. Kolcu. Automatic Data Migration for Reducing Energy Consumption in Multi-bank Memory Mystems. In Proceedings of DAC, June 2002.
[8]
V. Delaluz, M. Kandemir, N. Vijaykrishnan, A. Sivasubramaniam, and M. J. Irwin. Hardware and Software Techniques for Controlling DRAM Power Modes. IEEE Transactions on Computers, 50(11), 2001.
[9]
X. Fan, C. Ellis, and A. Lebeck. Memory Controller Policies for DRAM Power Management. In Proceedings of ISLPED, August 2001.
[10]
X. Fan, W.-D.Weber, and L. A. Barroso. Power Provisioning for a Warehouse-sized Computer. In Proceedings of ISCA, June 2007.
[11]
W. Felter, K. Rajamani, T. Keller, and C. Rusu. A Performance-Conserving Approach for Reducing Peak Power Consumption in Server Systems. In Proceedings of ICS, June 2005.
[12]
M. Femal and V. Freeh. Boosting Data Center Performance Through Non-Uniform Power Allocation. In Proceedings of ICAC, June 2005.
[13]
S. Gurumurthi, A. Sivasubramaniam, and V. Natarajan. Disk Drive Roadmap from the Thermal Perspective: A Case for Dynamic Thermal Management. In Proceedings of ISCA, June 2005.
[14]
T. Heath, A. P. Centeno, P. George, Y. Jaluria, and R. Bianchini. Mercury and Freon: Temperature Emulation and Management in Server Systems. In Proceedings of ASPLOS, October 2006.
[15]
S. Heo, K. Barr, and K. Asanovic. Reducing Power Density Through Activity Migration. In Proceedings of ISLPED, August 2003.
[16]
H. Huang, P. Pillai, and K. G. Shin. Design and Implementation of Power-Aware Virtual Memory. In Proceedings of USENIX, June 2003.
[17]
H. Huang, K. Shin, C. Lefurgy, K. Rajamani, T. Keller, E. Hensbergen, and F. Rawson. Cooperative Software-Hardware Power Management for Main Memory. In Proceedings of PACS, December 2004.
[18]
M. Huang, J. Renau, S-M. Yoo, and J. Torrellas. A Framework for Dynamic Energy Efficiency and Temperature Management. In Proceedings of Micro, December 2000.
[19]
C. Isci, A. Buyuktosunoglu, C.-Y. Cher, P. Bose, and M. Martonosi. An Analysis of Efficient Multi-Core Global Power Management Policies: Maximizing Performance for a Given Power Budget. In Proceedings of Micro, December 2006.
[20]
Y. Kim, S. Gurumurthi, and A. Sivasubramaniam. Understanding the Performance-Temperature Interactions in Disk I/O of Server Workloads. In Proceedings of HPCA, February 2006.
[21]
A. R. Lebeck, X. Fan, H. Zeng, and C. S. Ellis. Power-Aware Page Allocation. In Proceedings of ASPLOS, November 2000.
[22]
C. Lefurgy, K. Rajamani, F. Rawson, W. Felter, M. Kistler, and T. W. Keller. Energy Management for Commercial Servers. IEEE Computer, 36(12), December 2003.
[23]
X. Li, Z. Li, F. M. David, P. Zhou, Y. Zhou, S. V. Adve, and S. Kumar. Performance-Directed Energy Management for Main Memory and Disks. In Proceedings of ASPLOS, October 2004.
[24]
Y. Li, D. Brooks, Z. Hu, and K. Skadron. Performance, Energy, and ThermalConsiderations for SMT and CMP Architectures. In Proceedings of HPCA, February 2005.
[25]
M. Lipasti, C.Wilkerson, and J. Shen. Value Locality and Load Value Prediction. In Proceedings of ASPLOS, October 1996.
[26]
S. Martello and P. Toth. Knapsack Problems: Algorithms and Computer Implementations. Wiley, 1990.
[27]
MediaBench. Mediabench. http://cares.icsl.ucla.edu/MediaBench/.
[28]
A. Merkel and F. Bellosa. Balancing Power Consumption in Multiprocessor Systems. In Proceedings of Eurosys 2006, April 2006.
[29]
J. Moore, J. Chase, P. Ranganathan, and R. Sharma. Making Scheduling Cool: Temperature-Aware Resource Assignment in Data Centers. In Proceedings of USENIX, April 2005.
[30]
V. Pandey, W. Jiang, Y. Zhou, and R. Bianchini. DMA-Aware Memory Energy Management. In Proceedings of HPCA, February 2006.
[31]
M. Powell, M. Gomaa, and T. N. Vijaykumar. Heat-and-Run: Leveraging SMT and CMP to Manage Power Density Through the Operating System. In Proceedings of ASPLOS, October 2004.
[32]
Rambus. RDRAM. http://www.rambus.com.
[33]
P. Ranganathan, P. Leech, D. Irwin, and J. Chase. Ensemble-Level Power Management for Dense Blade Servers. In Proceedings of ISCA, June 2006.
[34]
E. Rohou and M. D. Smith. Dynamically Managing Processor Temperature and Power. In Proceedings of FDO, November 1999.
[35]
Samsung. 512Mb E--die DDR2 SDRAM Specification. http://www.samsung.com/Products/Semiconductor/DDR DDR2/-DDR2SDRAM/Component/512Mbit/K4T51083QE/ds k4t51xx3qe rev14.pdf.
[36]
L. Shang, L.-S. Peh, A. Kumar, and N. Jha. Characterization and Management of On-Chip Networks. In Proceedings of Micro, December 2004.
[37]
K. Skadron, M. Stan, W. Huang, S. Velusamy, K. Sankaranarayanan, and D. Tarjan. Temperature-Aware Microarchitecture. In Proceedings of ISCA, June 2003.
[38]
J. Srinivasan, S. Adve, P. Bose, and J. Rivers. The Case for Lifetime Reliability-Aware Microprocessors. In Proceedings of ISCA, June 2004.
[39]
Virtutech. Simics. http://www.simics.net.
[40]
J. J. Yi, S. V. Kodakara, R. Sendag, D. J. Lilja, and D. M. Hawkins. Characterizing and comparing prevailing simulation techniques. In Proceedings of HPCA, February 2005.
[41]
L. Zhang, Z. Fang, M. Parker, B.K. Mathew, L. Schaelicke, J.B. Carter, W.C. Hsieh, and S.A. McKee. The Impulse Memory Controller. IEEE Transactions on Computers, Special Issue on Advances in High-Performance Memory Systems, November 2001.
[42]
P. Zhou, V. Pandey, J. Sundaresan, A. Raghuraman, Y. Zhou, and S. Kumar. Dynamic Tracking of Page Miss Ratio Curve for Memory Management. In Proceedings of ASPLOS, October 2004.
[43]
Q. Zhu and Y. Zhou. Power-Aware Storage Cache Management. IEEE Transactions on Computers, 54(5), 2005.

Cited By

View all
  • (2023)DRAM Translation Layer: Software-Transparent DRAM Power Savings for Disaggregated MemoryProceedings of the 50th Annual International Symposium on Computer Architecture10.1145/3579371.3589051(1-13)Online publication date: 17-Jun-2023
  • (2022)Penelope: Peer-to-peer Power ManagementProceedings of the 51st International Conference on Parallel Processing10.1145/3545008.3545047(1-11)Online publication date: 29-Aug-2022
  • (2022)AgileWatts: An Energy-Efficient CPU Core Idle-State Architecture for Latency-Sensitive Server Applications2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO56248.2022.00063(835-850)Online publication date: Oct-2022
  • Show More Cited By

Index Terms

  1. Limiting the power consumption of main memory

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ISCA '07: Proceedings of the 34th annual international symposium on Computer architecture
    June 2007
    542 pages
    ISBN:9781595937063
    DOI:10.1145/1250662
    • General Chair:
    • Dean Tullsen,
    • Program Chair:
    • Brad Calder
    • cover image ACM SIGARCH Computer Architecture News
      ACM SIGARCH Computer Architecture News  Volume 35, Issue 2
      May 2007
      527 pages
      ISSN:0163-5964
      DOI:10.1145/1273440
      Issue’s Table of Contents
    Permission to make digital or hard copies of all or part of this work for personal or classroom use is granted without fee provided that copies are not made or distributed for profit or commercial advantage and that copies bear this notice and the full citation on the first page. Copyrights for components of this work owned by others than ACM must be honored. Abstracting with credit is permitted. To copy otherwise, or republish, to post on servers or to redistribute to lists, requires prior specific permission and/or a fee. Request permissions from [email protected]

    Sponsors

    Publisher

    Association for Computing Machinery

    New York, NY, United States

    Publication History

    Published: 09 June 2007

    Permissions

    Request permissions for this article.

    Check for updates

    Author Tags

    1. main memory
    2. performance
    3. power and energy management

    Qualifiers

    • Article

    Conference

    SPAA07
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 543 of 3,203 submissions, 17%

    Upcoming Conference

    ISCA '25

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)28
    • Downloads (Last 6 weeks)3
    Reflects downloads up to 21 Sep 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2023)DRAM Translation Layer: Software-Transparent DRAM Power Savings for Disaggregated MemoryProceedings of the 50th Annual International Symposium on Computer Architecture10.1145/3579371.3589051(1-13)Online publication date: 17-Jun-2023
    • (2022)Penelope: Peer-to-peer Power ManagementProceedings of the 51st International Conference on Parallel Processing10.1145/3545008.3545047(1-11)Online publication date: 29-Aug-2022
    • (2022)AgileWatts: An Energy-Efficient CPU Core Idle-State Architecture for Latency-Sensitive Server Applications2022 55th IEEE/ACM International Symposium on Microarchitecture (MICRO)10.1109/MICRO56248.2022.00063(835-850)Online publication date: Oct-2022
    • (2022)Performance Optimization of Machine Learning Inference under Latency and Server Power Constraints2022 IEEE 42nd International Conference on Distributed Computing Systems (ICDCS)10.1109/ICDCS54860.2022.00039(325-335)Online publication date: Jul-2022
    • (2021)Intelligent Architectures for Intelligent Computing Systems2021 Design, Automation & Test in Europe Conference & Exhibition (DATE)10.23919/DATE51398.2021.9474073(318-323)Online publication date: 1-Feb-2021
    • (2020)EditorialJournal of Data and Information Quality10.1145/338874812:2(1-4)Online publication date: 3-May-2020
    • (2020)Data Quality and Explainable AIJournal of Data and Information Quality10.1145/338668712:2(1-9)Online publication date: 3-May-2020
    • (2019)ReTaggerProceedings of the 56th Annual Design Automation Conference 201910.1145/3316781.3317895(1-6)Online publication date: 2-Jun-2019
    • (2019)PoDDProceedings of the International Conference for High Performance Computing, Networking, Storage and Analysis10.1145/3295500.3356174(1-23)Online publication date: 17-Nov-2019
    • (2018)What Your DRAM Power Models Are Not Telling YouProceedings of the ACM on Measurement and Analysis of Computing Systems10.1145/32244192:3(1-41)Online publication date: 21-Dec-2018
    • Show More Cited By

    View Options

    Get Access

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media