Nothing Special   »   [go: up one dir, main page]

skip to main content
article

Multifacet's general execution-driven multiprocessor simulator (GEMS) toolset

Published: 01 November 2005 Publication History

Abstract

The Wisconsin Multifacet Project has created a simulation toolset to characterize and evaluate the performance of multiprocessor hardware systems commonly used as database and web servers. We leverage an existing full-system functional simulation infrastructure (Simics [14]) as the basis around which to build a set of timing simulator modules for modeling the timing of the memory system and microprocessors. This simulator infrastructure enables us to run architectural experiments using a suite of scaled-down commercial workloads [3]. To enable other researchers to more easily perform such research, we have released these timing simulator modules as the Multifacet General Execution-driven Multiprocessor Simulator (GEMS) Toolset, release 1.0, under GNU GPL [9].

References

[1]
Ardsher Ahmed, Pat Conway, Bill Hughes, and Fred Weber. AMD Opteron Shared Memory MP Systems. In Proceedings of the 14th HotChips Symposium, August 2002.]]
[2]
Homayoon Akhiani, Damien Doligez, Paul Harter, Leslie Lamport, Joshua Scheid, Mark Tuttle, and Yuan Yu. Cache Coherence Verification with TLA+. In FM'99--Formal Methods, Volume II, volume 1709 of Lecture Notes in Computer Science, page 1871. Springer Verlag, 1999.]]
[3]
Alaa R. Alameldeen, Milo M. K. Martin, Carl J. Mauer, Kevin E. Moore, Min Xu, Daniel J. Sorin, Mark D. Hill, and David A. Wood. Simulating a $2M Commercial Server on a $2K PC. IEEE Computer, 36(2):50--57, February 2003.]]
[4]
Todd Austin, Eric Larson, and Dan Ernst. SimpleScalar: An Infrastructure for Computer System Modeling. IEEE Computer, 35(2):59--67, February 2002.]]
[5]
Bradford M. Beckmann and David A. Wood. Managing Wire Delay in Large Chip-Multiprocessor Caches. In Proceedings of the 37th Annual IEEE/ACM International Symposium on Microarchitecture, December 2004.]]
[6]
Nathan. L. Binkert, Erik. G. Hallnor, and Steven. K. Reinhardt. Network-Oriented Full-System Simulation using M5. In Proceedings of the Sixth Workshop on Computer Architecture Evaluation Using Commercial Workloads, February 2003.]]
[7]
Harold W. Cain, Kevin M. Lepak, Brandon A. Schwartz, and Mikko H. Lipasti. Precise and Accurate Processor Simulation. In Proceedings of the Fifth Workshop on Computer Architecture Evaluation Using Commercial Workloads, pages 13--22, February 2002.]]
[8]
David L. Dill, Andreas J. Drexler, Alan J. Hu, and C. Han Yang. Protocol Verification as a Hardware Design Aid. In International Conference on Computer Design. IEEE, October 1992.]]
[9]
Free Software Foundation. GNU General Public License (GPL). http://www.gnu.org/copyleft/gpl.html.]]
[10]
Chetana N. Keltcher, Kevin J. McGrath, Ardsher Ahmed, and Pat Conway. The AMD Opteron Processor for Multiprocessor Servers. IEEE Micro, 23(2):66--76, March-April 2003.]]
[11]
Leslie Lamport. Specifying Systems: The TLA+ Language and Tools for Hardware and Software Engineers. Addison-Wesley, 2002.]]
[12]
James Laudon and Daniel Lenoski. The SGI Origin: A ccNUMA Highly Scalable Server. In Proceedings of the 24th Annual International Symposium on Computer Architecture, pages 241--251, June 1997.]]
[13]
Daniel Lenoski, James Laudon, Kourosh Gharachorloo, Anoop Gupta, and John Hennessy. The Directory-Based Cache Coherence Protocol for the DASH Multiprocessor. In Proceedings of the 17th Annual International Symposium on Computer Architecture, pages 148--159, May 1990.]]
[14]
Peter S. Magnusson et al. Simics: A Full System Simulation Platform. IEEE Computer, 35(2):50--58, February 2002.]]
[15]
Milo M. K. Martin et al. Protocol Specifications and Tables for Four Comparable MOESI Coherence Protocols: Token Coherence, Snooping, Directory, and Hammer. http://www.cs.wisc.edu/multifacet/theses/milo_martin_phd/, 2003.]]
[16]
Milo M. K. Martin, Mark D. Hill, and David A. Wood. Token Coherence: A New Framework for Shared-Memory Multiprocessors. IEEE Micro, 23(6), Nov/Dec 2003.]]
[17]
Michael R. Marty, Jesse D. Bingham, Mark D. Hill, Alan J. Hu, Milo M. K. Martin, and David A. Wood. Improving Multiple-CMP Systems Using Token Coherence. In Proceedings of the Eleventh IEEE Symposium on High-Performance Computer Architecture, February 2005.]]
[18]
Carl J. Mauer, Mark D. Hill, and David A. Wood. Full System Timing-First Simulation. In Proceedings of the 2002 ACM Sigmetrics Conference on Measurement and Modeling of Computer Systems, pages 108--116, June 2002.]]
[19]
Shubhendu S. Mukherjee, Peter Bannon, Steven Lang, Aaron Spink, and David Webb. The Alpha 21364 Network Architecture. In Proceedings of the 9th Hot Interconnects Symposium, August 2001.]]
[20]
Mendel Rosenblum, Stephen A. Herrod, Emmett Witchel, and Anoop Gupta. Complete Computer System Simulation: The SimOS Approach. IEEE Parallel and Distributed Technology: Systems and Applications, 3(4):34--43, 1995.]]
[21]
Lambert Schaelicke and Mike Parker. ML-RSIM Reference Manual. Technical Report tech. report 02-10, Department of Computer Science and Engineering, Univ. of Notre Dame, Notre Dame, IN, 2002.]]
[22]
Jared Smolens, Brian Gold, Jangwoo Kim, Babak Falsafi, James C. Hoe, and Andreas G. Nowatzyk. Fingerprinting: Bounding the Soft-Error Detection Latency and Bandwidth. In Proceedings of the Eleventh International Conference on Architectural Support for Programming Languages and Operating Systems, pages 224--234, October 2004.]]
[23]
Daniel J. Sorin, Manoj Plakal, Mark D. Hill, Anne E. Condon, Milo M. K. Martin, and David A. Wood. Specifying and Verifying a Broadcast and a Multicast Snooping Cache Coherence Protocol. IEEE Transactions on Parallel and Distributed Systems, 13(6):556--578, June 2002.]]
[24]
Systems Performance Evaluation Cooperation. SPEC Benchmarks. http://www.spec.org.]]
[25]
David A. Wood, Garth A. Gibson, and Randy H. Katz. Verifying a Multiprocessor Cache Controller Using Random Test Generation. IEEE Design and Test of Computers, pages 13--25, August 1990.]]

Cited By

View all
  • (2024)NoC simulation steered by NEST: McAERsim and a Noxim patchFrontiers in Neuroscience10.3389/fnins.2024.137110318Online publication date: 20-Jun-2024
  • (2024)Proving Correctness of Parallel Implementations of Transition System ModelsACM Transactions on Programming Languages and Systems10.1145/366063046:3(1-50)Online publication date: 20-Apr-2024
  • (2024)A Comparative Study on Simulation Frameworks for AI Accelerator Evaluation2024 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)10.1109/IPDPSW63119.2024.00073(321-328)Online publication date: 27-May-2024
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM SIGARCH Computer Architecture News
ACM SIGARCH Computer Architecture News  Volume 33, Issue 4
Special issue: dasCMP'05
November 2005
130 pages
ISSN:0163-5964
DOI:10.1145/1105734
Issue’s Table of Contents

Publisher

Association for Computing Machinery

New York, NY, United States

Publication History

Published: 01 November 2005
Published in SIGARCH Volume 33, Issue 4

Check for updates

Qualifiers

  • Article

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)150
  • Downloads (Last 6 weeks)6
Reflects downloads up to 21 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2024)NoC simulation steered by NEST: McAERsim and a Noxim patchFrontiers in Neuroscience10.3389/fnins.2024.137110318Online publication date: 20-Jun-2024
  • (2024)Proving Correctness of Parallel Implementations of Transition System ModelsACM Transactions on Programming Languages and Systems10.1145/366063046:3(1-50)Online publication date: 20-Apr-2024
  • (2024)A Comparative Study on Simulation Frameworks for AI Accelerator Evaluation2024 IEEE International Parallel and Distributed Processing Symposium Workshops (IPDPSW)10.1109/IPDPSW63119.2024.00073(321-328)Online publication date: 27-May-2024
  • (2024)Effective Context-Sensitive Memory Dependence Prediction2024 IEEE International Symposium on High-Performance Computer Architecture (HPCA)10.1109/HPCA57654.2024.00045(515-527)Online publication date: 2-Mar-2024
  • (2024)Gem5-AVX: Extension of the Gem5 Simulator to Support AVX Instruction SetsIEEE Access10.1109/ACCESS.2024.335929612(20767-20778)Online publication date: 2024
  • (2024)Proactive deadlock prevention based on traffic classification sub-graphs for triplet-based NoC TriBA-cNoCMicroprocessors and Microsystems10.1016/j.micpro.2024.105091110(105091)Online publication date: Oct-2024
  • (2024)On the interactions between ILP and TLP with hardware transactional memoryMicroprocessors and Microsystems10.1016/j.micpro.2023.104975104(104975)Online publication date: Feb-2024
  • (2023)Turn-based Spatiotemporal Coherence for GPUsACM Transactions on Architecture and Code Optimization10.1145/359305420:3(1-27)Online publication date: 19-Jul-2023
  • (2023)On the Measurement of Performance Metrics for Virtualization-Enhanced ArchitecturesProceedings of the 38th ACM/SIGAPP Symposium on Applied Computing10.1145/3555776.3577705(49-56)Online publication date: 27-Mar-2023
  • (2023)CELLO: Compiler-Assisted Efficient Load-Load Ordering in Data-Race-Free Regions2023 32nd International Conference on Parallel Architectures and Compilation Techniques (PACT)10.1109/PACT58117.2023.00009(1-13)Online publication date: 21-Oct-2023
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media