Nothing Special   »   [go: up one dir, main page]

Skip to main content

Advertisement

Log in

Hybrid power management in real time embedded systems: an interplay of DVFS and DPM techniques

  • Published:
Real-Time Systems Aims and scope Submit manuscript

Abstract

Energy-aware scheduling of real time applications over multiprocessor systems is considered in this paper. Early research reports that while various energy-saving policies, for instance Dynamic Power Management (DPM) and Dynamic Voltage & Frequency scaling (DVFS) policies, perform well individually for a specific set of operating conditions, they often outperform each other under different workload and/or architecture configuration. Thus, no single policy fits perfectly all operating conditions. Instead of designing new policies for specific operating conditions, this paper proposes a generic power/energy management scheme that takes a set of well-known existing (DPM and DVFS) policies, each of which performs well for a set of conditions, and adapts at runtime to the best-performing policy for any given workload. Experiments are performed using state-of the-art DPM and DVFS policies and the results show that our proposed scheme adapts well to the changing workload and always achieves overall energy savings comparable to that of best-performing policy at any point in time.

This is a preview of subscription content, log in via an institution to check access.

Access this article

Subscribe and save

Springer+ Basic
$34.99 /Month
  • Get 10 units per month
  • Download Article/Chapter or eBook
  • 1 Unit = 1 Article or 1 Chapter
  • Cancel anytime
Subscribe now

Buy Now

Price excludes VAT (USA)
Tax calculation will be finalised during checkout.

Instant access to the full article PDF.

Similar content being viewed by others

References

  • AlEnawy TA, Aydin H (2005) Energy-aware task allocation for rate monotonic scheduling. In: Proc of IEEE symposium, RTAS-2005, pp 213–223

    Google Scholar 

  • ARM. http://www.arm.com/

  • Aydin H, Melhem R, Mossé D, Mejía-Alvarez P (2004) Power-aware scheduling for periodic real-time tasks. IEEE Trans Comput 53:584–600

    Article  Google Scholar 

  • Aydin H, Yang Q (2003) Energy-aware partitioning for multiprocessor real-time systems. In: Proceedings of the 17th international symposium on parallel and distributed processing, IPDPS ’03. IEEE Computer Society, Washington, p 113.2

    Google Scholar 

  • Benini L, Bogliolo A, De Micheli G (2002) Readings in hardware/software co-design. In: A survey of design techniques for system-level dynamic power management. Kluwer Academic, Norwell, pp 231–248

    Google Scholar 

  • Benini L, de Micheli G (1997) Dynamic power management: design techniques and CAD tools. Springer, Berlin

    Google Scholar 

  • Bhatti MK, Belleudy C, Auguin M (2010) An inter-task real time DVFS scheme for multiprocessor embedded systems. In: Proceedings of IEEE international conference on design and architectures for signal and image processing, DASIP

    Google Scholar 

  • Bhatti MK, Belleudy C, Farooq M, Mbarek O, Auguin M (2009) Assertive dynamic power management strategy for globally scheduled RT multiprocessor systems. In: Procs. of PATMOS’09

    Google Scholar 

  • Bini E, Buttazzo GC, Lipari G (2005) Speed modulation in energy-aware real-time systems. In: IEEE Proceedings of the Euromicro conference on real-time systems, ECRTS

    Google Scholar 

  • Cheng H, Goddard S ((2009) Sys-edf: a system-wide energy-efficient scheduling algorithm for hard real-time systems. Int J Embed Syst 4(2):141–151

    Article  Google Scholar 

  • Dakai Z, Aboughazaleh N, Mossé D, Melhem R (2002) Power aware scheduling for and/or graphs in multi-processor real time systems. In: Proceedings of international conference on parallel processing, ICPP’02, pp 593–601

    Chapter  Google Scholar 

  • Devadas V, Aydin H (2008) On the interplay of dynamic voltage scaling and dynamic power management in real-time embedded applications. In: Proceedings of the 8th ACM international conference on embedded software, EMSOFT ’08. ACM, New York, pp 99–108

    Chapter  Google Scholar 

  • Dhiman G, Simunic Rosing T (2006) Dynamic power management using machine learning. In: Proceedings of the 2006 IEEE/ACM international conference on computer-aided design, ICCAD ’06. ACM, New York, pp 747–754

    Chapter  Google Scholar 

  • Hwang C-H, Wu AC-H (1997) A predictive system shutdown method for energy saving of event-driven computation. In: Proceedings of the 1997 IEEE/ACM international conference on computer-aided design, ICCAD ’97. IEEE Computer Society, Washington, pp 28–32

    Google Scholar 

  • Irani S, Shukla S, Gupta R (2003) Online strategies for dynamic power management in systems with multiple power-saving states. ACM Trans Embed Comput Syst 2:325–346

    Article  Google Scholar 

  • Karlin AR, Manasse MS, McGeoch LA, Owicki S (1990) Competitive randomized algorithms for non-uniform problems. In: Proceedings of the first annual ACM-SIAM symposium on discrete algorithms, SODA ’90. Society for Industrial and Applied Mathematics, Philadelphia, pp 301–309

    Google Scholar 

  • Lu Y-H, de Micheli G (1999) Adaptive hard disk power management on personal computers. In: Proceedings of the ninth Great Lakes symposium on VLSI, GLS ’99. IEEE Computer Society, Washington, p 50

    Google Scholar 

  • Navet N, Gaujal B (2006) Ordonnancement temps reel et minimisation de la consommation de energie. In: System temps reel, vol 2. Kluwer Academic, Norwell, Chap 4

    Google Scholar 

  • Paleologo GA, Benini L, Bogliolo A, De Micheli G (1998) Policy optimization for dynamic power management. In: Proceedings of the 35th annual design automation conference, DAC ’98. ACM, New York, pp 182–187

    Chapter  Google Scholar 

  • Pillai P, Shin KG (2001) Real-time dynamic voltage scaling for low-power embedded operating systems. In: Proceedings of the eighteenth ACM symposium on operating systems principles, SOSP ’01. ACM, New York, pp 89–102

    Chapter  Google Scholar 

  • Qiu Q, Pedram M (1999) Dynamic power management based on continuous-time Markov decision processes. In: Proceedings of the 36th annual ACM/IEEE design automation conference, DAC ’99. ACM, New York, pp 555–561

    Chapter  Google Scholar 

  • Ramanathan D, Irani S, Gupta R (2000) Latency effects of system level power management algorithms. In: Proceedings of the 2000 IEEE/ACM international conference on computer-aided design, ICCAD ’00. IEEE Press, Piscataway, pp 350–356

    Google Scholar 

  • Shin Y, Choi K, Sakurai T (2000) Power optimization of real-time embedded systems on variable speed processors. In: Proceedings of the 2000 IEEE/ACM international conference on computer-aided design, ICCAD ’00. IEEE Press, Piscataway, pp 365–368

    Google Scholar 

  • Urunuela R, Dèplanche A-M, Trinquet Y (2010) Storm—a simulation tool for real-time multiprocessor scheduling evaluation. In: 15th IEEE international conference on emerging technologies and factory automation, Bilbao, Spain

    Google Scholar 

  • XScale Microarchitecture. http://www.marvell.com/

  • Yang P, Wong C, Marchal P, Catthoor F, Desmet D, Verkest D, Lauwereins R (2001) In: Energy-aware runtime scheduling for embedded-multiprocessor socs, vol 18. IEEE Computer Society Press, Los Alamitos, pp 46–58

    Google Scholar 

Download references

Author information

Authors and Affiliations

Authors

Corresponding author

Correspondence to Muhammad Khurram Bhatti.

Rights and permissions

Reprints and permissions

About this article

Cite this article

Bhatti, M.K., Belleudy, C. & Auguin, M. Hybrid power management in real time embedded systems: an interplay of DVFS and DPM techniques. Real-Time Syst 47, 143–162 (2011). https://doi.org/10.1007/s11241-011-9116-y

Download citation

  • Published:

  • Issue Date:

  • DOI: https://doi.org/10.1007/s11241-011-9116-y

Keywords

Navigation