Nothing Special   »   [go: up one dir, main page]

Next Article in Journal
A Q-Learning-Based Approach to Design an Energy-Efficient MAC Protocol for UWSNs Through Collision Avoidance
Next Article in Special Issue
Networking 3 K Two-Qubit Logic Gate Quantum Processors to Approach 1 Billion Logic Gate Performance
Previous Article in Journal
Research on Quadrotor Control Based on Genetic Algorithm and Particle Swarm Optimization for PID Tuning and Fuzzy Control-Based Linear Active Disturbance Rejection Control
Previous Article in Special Issue
Application of Genetic Algorithms for Strejc Model Parameter Tuning
You seem to have javascript disabled. Please note that many of the page functionalities won't work as expected without javascript enabled.
 
 
Font Type:
Arial Georgia Verdana
Font Size:
Aa Aa Aa
Line Spacing:
Column Width:
Background:
Review

System-Level Statistical Eye Diagram for Signal Integrity

1
Semiconductor Convergence Engineering, College of Engineering, Dankook University, Yong-in si 16890, Republic of Korea
2
Electrical and Computer Engineering, College of Engineering and Computing, Missouri University of Science and Technology, Rolla, MO 65409, USA
*
Author to whom correspondence should be addressed.
Electronics 2024, 13(22), 4387; https://doi.org/10.3390/electronics13224387
Submission received: 27 September 2024 / Revised: 31 October 2024 / Accepted: 5 November 2024 / Published: 8 November 2024
(This article belongs to the Special Issue Advances in Signals and Systems Research)
Figure 1
<p>The eye diagram is a critical SI metric to show electrical degradation such as crosstalk between channels and insertion loss by parasitic resistance and capacitance. The eye diagram is obtained by overlapping the received waveforms; thus, it requires a significant amount of acquisition time.</p> ">
Figure 2
<p>(<b>a</b>) The worst contour by the PDA method; (<b>b</b>) statistical eye diagram by the statistical approach. The PDA provides the inner-most contour of the eye diagram. Thus, limited information is provided. In contrast, the statistical eye diagram provides the probability distribution function (PDF) depending on the sampling time. The color represents the probability depending on the sampling time.</p> ">
Figure 3
<p>Bit PDF in the statistical eye diagram. The PDF for the main cursors is from the channel response for bit ONE. The amplitude PDF is defined at the sampling time <math display="inline"><semantics> <mrow> <msub> <mrow> <mi>τ</mi> </mrow> <mrow> <mi>s</mi> <mi>a</mi> <mi>m</mi> <mi>p</mi> <mi>l</mi> <mi>i</mi> <mi>n</mi> <mi>g</mi> </mrow> </msub> </mrow> </semantics></math>.</p> ">
Figure 4
<p>The above figures show the results of (<b>a</b>) 8B/10B and (<b>b</b>) TMDS encoding, respectively. Their purposes are opposite. Thus, the number of bit transitions is increased by 8B/10B encoding and decreased by TMDS encoding [<a href="#B21-electronics-13-04387" class="html-bibr">21</a>].</p> ">
Figure 5
<p>The statistical eye diagrams have different probability distributions depending on the 8B/10B and TMDS encoders [<a href="#B21-electronics-13-04387" class="html-bibr">21</a>]: (<b>a</b>) eye diagram without the encoding, (<b>b</b>) eye diagram with 8B/10B encoding, and (<b>c</b>) the eye diagram with TMDS encoding. As a result of the encoding, the probabilities of the bit transitions are different for both cases. In the case of 8B/10B encoding, the non-transition area has a lower probability. The change in the probability can be identified from the darker area in the statistical eye diagram and the same is true for, the case of TMDS encoding.</p> ">
Figure 6
<p>Equalized SBRs depend on the equalizer. (<b>a</b>) The SBR is the channel response for the input bits of 01000⋯. (<b>b</b>) The DFE mitigates the inter-symbol interference (ISI) based on the previous bits. Thus, the voltage level with a length of UI is attenuated after the single-bit pulse. (<b>c</b>,<b>d</b>) The pre-/de-emphasis also equalizes the ISI noise in the time domain. The pre-emphasis boosts the high frequencies; thus, the peak of the single-bit pulse is amplified. Likewise, the de-emphasis attenuates the high frequencies after the single-bit pulse. Therefore, the dip after the single-bit pulse is amplified by the de-emphasis. In other words, the emphasis amplifies the high-frequency signals locally in the time domain. (<b>e</b>) The CTLE mitigates the low frequencies or amplifies the high-frequency components in the frequency domain. The high-frequency signals over the whole pulse response are amplified by the CTLE [<a href="#B32-electronics-13-04387" class="html-bibr">32</a>].</p> ">
Figure 7
<p>Statistical eye diagrams depending on equalizers: (<b>a</b>) non-equalized channel, (<b>b</b>) DFE, (<b>c</b>) pre-emphasis, (<b>d</b>) de-emphasis, and (<b>e</b>) CTLE [<a href="#B32-electronics-13-04387" class="html-bibr">32</a>].</p> ">
Figure 8
<p>Single bit responses (SBRs) in multi-level signaling [<a href="#B34-electronics-13-04387" class="html-bibr">34</a>].</p> ">
Figure 9
<p>Statistical eye diagram for the multi-level signaling [<a href="#B36-electronics-13-04387" class="html-bibr">36</a>]. The statistical eye diagrams have different PDFs on the logic level and the pulse levels. (<b>a</b>) When all of the logic levels have the same probability and the scaling factor, the statistical eye diagram is symmetric in terms of the probability and the distribution. (<b>b</b>) The asymmetry on the probability causes the asymmetric statistical eye diagram. (<b>c</b>) The different scaling on the pulse response also leads to the asymmetric PDF.</p> ">
Figure 10
<p>Statistical eye diagram depending on the scrambling. (<b>a</b>) When the biased data are given, it has a higher probability for either ONE or ZERO. The biased probability distribution is identified from the asymmetry of the probability. (<b>b</b>) After the scrambling, the corresponding eye diagram become symmetric which means the ONE and ZERO have the same probability [<a href="#B39-electronics-13-04387" class="html-bibr">39</a>].</p> ">
Figure 11
<p>Statistical eye diagram depending on the ECC. (<b>a</b>) The BCH code encodes the data bits in a bit-wise fashion, thus the effect of the BCH code on the eye diagram is not significant. (<b>b</b>) In contrast, the RS code encodes the data bits in a symbol-wise fashion, thus the RS code make the bit probability of ZERO higher [<a href="#B15-electronics-13-04387" class="html-bibr">15</a>].</p> ">
Versions Notes

Abstract

:
This paper reviews a statistical signal integrity (SI) analysis at the system level for a high-speed system design. An eye diagram graphically shows a system’s performance. However, an eye diagram requires a long acquisition time for accurate results. The time-consuming nature of this process makes an eye-diagram-based SI analysis inefficient. Thus, a statistical eye diagram was introduced for an efficient SI analysis. The statistical eye diagram provides not only SI metrics such as eye height (EH) and eye width (EW), but also the bit-error rate (BER) profile for each channel. The data transmitted over the high-speed channels are determined by an upper hierarchy such as a system. In other words, the data are a function of the system parameters. In conclusion, a statistical eye diagram is determined by the high-speed channels and the system parameters. Therefore, the previous works on statistical eye diagrams at the channel and system levels have been introduced, respectively. This paper reviews the previous works for a system-level statistical SI analysis with a statistical eye diagram.

1. Introduction

Recent consumer electronics have been required to provide more functionalities to satisfy the demands of the market. The above technical trend has caused data to be transmitted over more physical channels at higher data rates. In other words, the number of channels and the corresponding data rates have continuously increased. Inside silicon chips, the number of transistors has increased to support the required functions [1]. In order to fabricate more transistors on the limited size of a wafer, the silicon fabrication process has been developed for a narrower sized transistor [2]. In electromagnetic compatibility (EMC), a signal integrity (SI) analysis provides information on how the signal propagates over a high-speed channel [3]. Hence, the above technical trend makes an SI analysis more important in terms of high-performance system design [4]. Furthermore, a system becomes more complicated with these advancements, thus an SI analysis of a system also becomes hard [5,6,7].
An SI analysis includes time-domain and frequency-domain approaches to investigate how a signal is degraded during data transmission. The frequency-domain approach uses scattering (S)-parameters such as reflection loss and insertion loss [8]. And the time-domain approach uses an eye diagram [9], which overlaps the received ONEs and ZEROs. The insertion loss in the S-parameters is defined as a ratio of the transmitted waves compared to the incident waves. Thus, the amount of the transmitted energy can be shown with the magnitude and the phase of the insertion loss, respectively. The magnitude and phase are given at each frequency of interest. Thus, the frequency-dependent behavior is shown within the frequencies.
In contrast, an eye diagram shows how reliable the received data by a channel are in a graphical manner as shown in Figure 1. An eye diagram has important parameters, i.e., eye height (EH) and eye width (EW) inside its contour. The EH shows the voltage margin at the sampling time and the EW shows the timing margin at a given threshold voltage. The outcomes of the frequency- and time-domain approaches provide meaningful information about a channel. However, an eye diagram becomes more important for the fixed data rate because an eye diagram is the outcome of a combination of the frequency-dependent behavior of a channel and the input signal. Furthermore, an eye diagram is more intuitive compared to the insertion loss due to its representation. If the eye diagrams have non-zero EH and EW values, then an eye-opening exists in the middle. The eye-opening means that no waveform passes through the center of the eye diagrams. In other words, the received ZEROs and ONEs at the receiver are clearly distinctive.

2. Statistical Eye Diagram

An eye diagram has the critical drawback of being time-consuming due to the principle of acquisition. An eye diagram is an overlapped waveform at a receiver. Thus, the reliability of an eye diagram is determined by how many bits are received. That is, more received bits are always required to avoid a biased result. If the target bit-error rate (BER) is 10N, then the required number of bits would be 10N. The measured eye diagram might be achievable depending on the memory of the measurement instrument used such as an oscilloscope. However, a simulated eye diagram is different in terms of calculation and memory. In a simulation, a data bit has multiple points, i.e., 50, 64, or 100 to represent the continuous waveform within a unit interval (UI). Thus, the required number of bits is multiplied by the number of points in the UI. Therefore, a simulation requires a larger memory than that needed for eye diagram measurements.
Another difference between simulated and measured eye diagrams is how they collect waveforms. The measured waveforms are recorded by a sampling and an analog-to-digital (A-to-D) conversion [10]. The recorded data are accumulated to construct an eye diagram. However, the simulated waveforms are calculated based on the channel response and input waveforms. The calculation includes consecutive additions in a transient simulation to calculate the inter-symbol-interference (ISI) noises [11]. The ISI noise is defined as the sum of each received bit which is a result of the degradation caused by the RC delay [12]. Each bit may have a value of either ZERO or ONE; thus, the ISI noise might be determined by a huge number of bits within the ISI noise. This leads to the introduction of the eye diagram estimation methods into an SI analysis.
As can be seen from Figure 2, a peak distortion analysis (PDA) calculates the inner-most contour of an eye diagram, which is defined as the worst contour of an eye diagram [13]. The worst contour provides the EH value in the amplitude and the EW value in the sampling time. Thus, the PDA method has been widely used for an efficient SI analysis. However, the worst contour only provides simplified results, which leads to limited usage. In order to provide more information on the SI evaluation, the statistical eye diagram was introduced. The statistical approach provides probability distributions depending on either a sampling time or a sampling voltage. Hence, some postprocessing algorithms are applied to obtain further information such as the BER values from a statistical eye diagram. The BER values represent how many errors occur during data transmission. A system-level SI analysis typically includes a BER-based analysis [14]. The statistical approach requires a longer calculation time and more memories during the calculations. When it comes to the calculation, a PDA includes selective additions. However, the statistical approach includes consecutive convolutions to calculate the PDF. The above properties are critical drawbacks of the current eye diagram estimation methods. Nevertheless, the PDA and statistical approach are still faster and simpler than a transient simulation.
The statistical approach includes the following steps to calculate the probability distributions depending on the sampling time [15]:
  • Single-bit response (SBR) calculation;
  • Cursor separation from the SBR;
  • ISI calculation with the pre-and post-cursors;
  • Bit PDF calculation with the main cursors;
  • The above procedures are iterated with sampling times.
The SBR is the channel response for a single bit, which is the attenuated and widened pulse caused by parasitic resistance and the capacitance of a high-speed channel. Thus, the SBR V(t) is obtained by the following equation:
S B R t = I F F T F F T p t × H f
where,
p t = V p ,         0 t 1 U I 0 ,               t > 1 U I                
The channel response H f is the insertion loss S 21 in the frequency domain. Therefore, the ISI noise by a single bit is evaluated with the SBR. The next step is to define the main cursors which are equivalent to the degraded ONE in the current sampling time. Hence, the main cursors have a length of 1 UI. Typically, the first and last main cursors have the smallest voltage gap compared to the others to avoid a mismatched voltage in the eye diagram. The timing window for the main cursors is shifted until the first and last cursors have the smallest gap within the given range. The timing window should have a length of 1 UI. If not, it violates the definition of an eye diagram. The given range is determined based on the peak value of the SBR. As long as the above timing window includes the peak value, the timing window is shifted left or right. In other words, the main cursors are defined as follows:
X m a i n t = S B R t m a i n t t m a i n + 1   U I
where S B R t m a i n S B R t m a i n + 1 U I . Figure 3 shows the Bit PDF based on the main cursors. After defining the main cursors from the SBR, the rest of the waveforms are defined as the pre- and post-cursors, respectively:
X p r e t = S B R t < t m a i n
X p o s t t = S B R t m a i n + 1 U I < t
The defined post-cursors are divided into multiple intervals with a length of 1 UI:
X p o s t N = X p o s t t m a i n + 1 U I + ( N 1 ) × 1 U I < t t m a i n + 1 U I + N × 1 U I
where N is a positive integer. Likewise, the divided pre-cursors are also divided as follows:
X p r e N = X p r e t m a i n N × 1 U I t < t m a i n N 1 × 1 U I
The probability density function (PDF) of each cursor is defined as follows:
P D F p o s t N a | τ = δ a + δ a X p o s t N τ
where 0 τ 1 U I . Consecutive convolutions are applied to the above pre- and post-cursors to calculate the probability distribution function (PDF) of the ISI:
P D F p r e a | τ = P D F p r e 1 a | τ P D F p r e 2 a | τ P D F p r e a | τ
P D F p o s t a | τ = P D F p o s t 1 a | τ P D F p o s t 2 a | τ P D F p o s t a | τ
The PDF of the ISI is defined as the result of the convolution with the pre- and post-cursors:
P D F I S I a | τ = P D F p r e a | τ P D F p o s t a | τ
Therefore, the bit PDF at the sampling time τ is given by the following:
P D F a | τ = δ a + δ a X m a i n τ P D F I S I a | τ .
In conclusion, the statistical eye diagram is a union set of the above bit PDF depending on the sampling time τ :
S t a t E y e = τ = 0 1 U I P D F a | τ .
The statistical eye diagram includes the consecutive convolutions instead of the additions. Thus, an efficient calculation is achievable compared to a transient simulation.

3. System-Level Statistical Eye Diagram

The system includes several techniques to improve the SI, BER, and electromagnetic interference (EMI) performances. Even though the objectives of the techniques are different, all of them affect an eye diagram in different ways. In other words, a system-level eye diagram is different from that of a channel. Hence, previous works on the system-level statistical approach were proposed. This section introduces how the above techniques are considered in a statistical eye diagram.

3.1. Encoding

The high-speed receiver buffers include clock and data recovery (CDR) circuits [16,17]. The objective of the CDR is to recover the data and clock signals from the received signal. The reliability of the CDR is proportional to the number of bit transitions due to the property of the receiver circuit and because the threshold voltage in the receiver is determined based on the received waveform. In other words, consecutive bits such as 000…000 or 111…111 cause the CDR to become unreliable [18]. An encoding is a process of mapping that occurs between different sequence groups. The 8B/10B [19] and transition minimized differential signaling (TMDS) [20] have been used in the industry. The 8B/10B encoding is used to increase the number of bit transitions for the reliable receiver. In contrast, TMDS encoding decreases the number of bit transitions to mitigate the amount of crosstalk noise between the channels as can be seen in Figure 4. The 8B/10B encoding includes two look-up tables (LUTs) that convert the 8-bit sequences to 10-bit sequences with more bit transitions. Alternatively, the TMDS encodes an 8-bit sequence to a 10-bit sequence with an algorithm.
The high-speed multimedia connector has multiple data channels in parallel to meet the required data throughput. When the data rate is high enough, the parasitic capacitance and inductance between the adjacent channels create coupled energy. The energy coupling between channels is defined as crosstalk. In order to mitigate the coupled energy, TMDS encoding makes fewer bit transitions. TMDS encoding uses the TMDS mapping algorithm to convert the 8-bit sequences into 10-bit sequences before the data transmission. TMDS encoding becomes more beneficial for advanced high-performance systems. Because the intensity of the magnetic and electric fields increases at higher frequencies, the amount of the crosstalk is proportional to the data rate. Thus, crosstalk mitigation becomes essential. The advanced high-performance systems also have more data channels. This means that an analysis of the crosstalk would complicated. In this case, TMDS encoding reduces the crosstalk noise which may simplify the analysis.
In order to apply the statistical approach to the encoded channel, the stochastic models for encoders were introduced. The stochastic model represents the behavior of an encoder in terms of probability. The statistical approach commonly uses the SBR which is the simplest channel response. As both encodings focus on the bit transitions, a statistical eye diagram has to be constructed with the bit transitions. Thus, the proposed method was based on the double-edge response (DER) [21]. The DER approach obtains a statistical eye diagram with the rising and falling responses [22]. The difference between the SBR and the DER approaches is the consideration of the asymmetry between the N/P-type metal-oxide-semiconductors (N/PMOSs). The N/PMOS have independent electrical characteristics. Thus, the rising and falling responses are always asymmetric in the waveform. Therefore, the DER approach constructs an eye diagram with the separate rising and falling responses to consider the above asymmetry. However, the purpose of the DER approach is to handle the bit transitions in an eye diagram calculation. To reflect the effect of the encodings onto an eye diagram, the SBR method has to be converted in terms of the bit transition. The conversion may limit the probability calculation. Therefore, the DER method was used in [21].
The assumption in the conventional estimation method is that ONE and ZERO have the same probability. However, the assumption is no longer valid for the encoded channels. As a result of the encodings, the probability of the bit transitions might be lower or higher than 0.5. The stochastic model has the resultant bit probabilities. The stochastic model for 8B/10B encoding was obtained by counting the number of ONEs in the LUTs. The calculated probabilities were defined as the stochastic model for 8B/10B encoding. Likewise, the stochastic model for TMDS encoding was obtained by calculating the bit transition probabilities using the TMDS algorithm. Hence, the TMDS algorithm is replaced by the corresponding stochastic model. Therefore, a statistical eye diagram for the TMDS-encoded channel is obtained by the above DER method and the stochastic model.
Figure 5 shows the statistical eye diagrams depending on the encoders. In an eye diagram, the transition area corresponds to the rising or falling region. And the non-transition area corresponds to the top and bottom regions. The statistical eye diagram without any encoding had similar probabilities for the transition and non-transition areas. However, the non-transition area had a lower probability compared to that of the transition area in the statistical eye diagram. Likewise, the TMDS-encoded eye diagram shows that the transition area has a lower probability compared to that of the non-transition area. Therefore, the proposed method with the stochastic model for the encoder successfully shows how the encoder was interpreted in the statistical eye diagram.

3.2. Equalizer

Equalizers compensate for the electrical degradation in either the frequency or time domains [23,24,25,26]. The frequency-domain equalizer includes a continuous time linear equalizer (CTLE). The time-domain equalizers include the pre-/de-emphasis and decision feedback equalizer (DFE) as shown in Figure 6. The CTLE either amplifies the high-frequency energies or attenuates the low-frequency energies to make the channel response as flat as possible [27]. Typically, the CTLE is placed just before the receiver to directly compensate for the channel loss. Likewise, a pre-emphasis boosts the high-frequency energies and a de-emphasis attenuates the low-frequency energies in the time domain. Unlike the CTLE, the pre-/de-emphasis are placed at the transmitter. In other words, the CTLE mitigates the channel loss after the channel and the pre-/de-emphasis compensates for the channel loss before the channel. The DFE is a time-domain equalizer mitigating the loss after the channel [28,29,30,31]. The DFE makes a bit decision based on the received waveform: LOW or HIGH in a binary system. And the equalizer mitigates the expected ISI noise based on the previous bits. As discussed earlier, the ISI noise caused by parasitic resistance and capacitance makes the channel response attenuated and widened. Thus, if the received bit is equal to ONE, the next bit would experience the ISI noise just created by the previous bit. The DFE attenuates the currently received waveform by its coefficient to mitigate the expected ISI noise.
Because the DFE operates in a digital manner, the effect of the DFE on an eye diagram has to be interpreted in a probability domain for a statistical eye diagram. The statistical eye diagrams are based on the equalized SBR. The conventional statistical approach is applied after the equalizers are applied to the SBR. That is, the equalized SBR replaces the SBR in the statistical approach. The probability distribution in the statistical eye diagram is determined by the SBR and the consecutive convolutions. Therefore, the equalizer only modifies the input of the statistical approach, not the algorithm. Figure 6 shows the equalized SBR depending on the equalizers. By definition, the equalized SBR by the pre-emphasis has a boosted channel response at the rising area [32]. Thus, the resultant waveform has boosted high-frequency energies compared to the non-equalized ones. Likewise, the de-emphasis has a dip at the falling area to mitigate the expected ISI noise. The CTLE also amplifies the high-frequency energies. The difference between the pre-emphasis and the CTLE is the amplifying area in the waveform. The pre-emphasis only locally amplifies the high-frequency signal at the rising area. In contrast, the CTLE amplifies the high-frequency signal in the overall area of the waveform.
Figure 7 shows the statistical eye diagrams depending on the equalizers [32]. Because the color of the statistical eye diagram represents the probability, how the equalizer works on the eye diagram is identified. The equalized statistical eye diagram has a higher probability at the center of ONE and ZERO. Because the DFE equalizes the waveform based on the recovered data, the timing of the feedback signal determines which area has a higher probability. The pre- and de-emphasis make the probability higher after and before the center in the eye diagram, respectively. The pre-emphasis causes an additional peak in the waveform. Thus, the voltage range right after the equalization becomes narrow. Therefore, the pre- and de-emphasis have a higher probability area after/before the center. As mentioned earlier, the difference between the pre-emphasis equalizer and the CTLE is the range of equalization. Therefore, the statistical eye diagram for the CTLE has a higher level for the ONE as a result of the equalization.

3.3. Multi-Level Signaling

A binary number system expresses data with ZEROs and ONEs. Thus, each bit has a LOW or HIGH logic value. Non-return zero (NRZ) signaling is used by binary systems in data transmission. However, the NRZ signaling is inefficient in terms of bandwidth because a single bit is only transmitted per signal pulse. In order to overcome this inefficiency, multi-level signaling has been widely used [33]. Multi-level signaling transmits the data with multiple bits per signal pulse, i.e., 01 as shown in Figure 8. The advantage of multi-level signaling is it decreases the bandwidth needed for the same data throughput. In other words, the data rate can be lowered if multiple bits are transmitted. The decreased data rate is determined by how many bits are transmitted per signal. The pulse amplitude modulation (PAM) with 4 levels (PAM-4) transmits two bits per signal. The relationship between the number of bits per signal N b i t s s i g and the number of levels N l e v is as follows:
N l e v = log 2 N b i t s s i g .
Equation (14) also shows the required bandwidth for signaling is inversely proportional to the number of levels in the multi-level signaling. Therefore, for the same data rate, the required bandwidth can be decreased in the case of multi-level signaling.
Based on the above SBRs, the corresponding statistical eye diagrams can be constructed by consecutive convolutions. The multiple-level signaling uses the scaled input pulse. Thus, the eye diagram is constructed based on the scaled channel responses [34]. Multi-level signaling has no effect on probability in the statistical approach. Because the SBR is only modified during the multi-level signaling, the statistical approach itself is used as it is. That is, the statistical approach has the modified input in this case. Another factor that has to be considered in multi-level signaling is the conversion loss. Conversion loss is caused by scaling the input pulse. Multi-level signaling has various levels to represent the data with a pulse. Thus, the amplitude is decreased by 1/N. That is, the pulse has a small amount of energy compared to NRZ signaling. The loss caused by the scaling in multi-level signaling is defined as the conversion loss. Therefore, in the case of multi-level signaling, the conversion loss always has to be compared with the insertion loss. In other words, when the channel is less lossy, then multi-level signaling may ironically degrade data transmission performance. This is another reason a statistical eye diagram is needed for multi-level signaling in high-speed systems [35].
Figure 9 shows the statistical eye diagrams for multi-level signaling with different probabilities [36], which means each level has a different probability. The gray coding is used to assign the symbol to decrease the bit error rate in the case of an erroneous symbol. In other words, the symbol error rate does not need to be the same as the BER. Thus, the gray coding maps the symbol to have a short Hamming distance. As a result of the gray coding, each logic might have a different probability. The authors in [36] proposed a statistical eye diagram with logic probabilities to consider the effect of the encoding with multi-level signaling.

3.4. Scrambling

Scrambling is an electromagnetic interference (EMI) suppression technique in electromagnetic compatibility (EMC) [37]. When periodic data patterns are transmitted over a channel, then the energy at a certain frequency is concentrated and starts to radiate. As a result, the radiated energy affects other electronic devices in the form of noise. The scrambling is to mix the data with the linear feedback shift register (LFSR) [38]. The LFSR is a mathematical field with a primitive polynomial and a feedback path. Because the LFSR generates a pseudo-random binary sequence (PRBS), the LFSR has been widely used as a random source. The generated random sequences are not purely random. It is not guaranteed that they will have a probability of 0.5. Therefore, a statistical eye diagram for scrambling has a different profile in terms of probability compared to the non-scrambling data. Table I shows how the bit probabilities change when scrambling is applied [39]. The data have different probabilities for each bit index. After scrambling, the data have almost the same probability as the bit index. In other words, the periodic pattern can be removed by scrambling to make the bit probabilities the same.
The scrambled data bits have different probabilities for the purpose of EMI suppression. The proposed method in [39] reflects the effect of scrambling by counting the number of ONEs depending on if scrambling was used. For this, the data bits are divided in accordance with the number of registers in the LFSR. The process of splitting is equivalent to symbolizing the given bits. As a result of symbolizing, the bit index can be defined as shown in Table 1. Then, the probability of each bit index was determined to be the input for the statistical approach. Therefore, the statistical approach has the modified bit probabilities as the input.
LFSR   2 7 Figure 10 compares the statistical eye diagrams depending on the scrambling [39]. The principle of scrambling is complicated. However, the analysis on the eye diagram is simple. The probability distribution becomes equally distributed by the scrambling because the statistical eye diagram only shows the result in terms of the bit probability and the channel responses.

3.5. Error-Correction Codes (ECCs)

Error-correction codes (ECCs) modify the bit sequence to correct the errors in the received bits in a mathematical manner [40,41]. The ECC encodes the data bits and generates a word [42,43,44]. The words, instead of the bits, are transmitted over a channel. The receiver corrects the erroneous bits during the decoding. The Bose–Chaudhuri–Hocquenghem (BCH) code corrects a single bit error in a word [45] and the Reed–Solomon (RS) code corrects multiple bit errors [46]. Their difference is due to the Galois Field which defines the generator polynomial. To correctly estimate the statistical eye diagram for the ECC-encoded channel, generator polynomial-based statistical eye diagrams were introduced [15].
As discussed, the ECC is based on the generator polynomial for the Galois field. Thus, the codeword transmitted over the channel is determined by the generator polynomial. That is, the bit probability is determined by the generator polynomial in the case of the ECC. The bit probabilities depending on the bit index are calculated based on the codeword for the cases of BCH and RS codes. However, the probability calculation includes the effect of the generator polynomial. The generator polynomial can be regarded as a linear combination of the input data bits. Thus, the ECC-encoded data bits are the output of the linear summation of the input bits completed by the generator polynomial.
As shown in Figure 11, depending on the ECCs, the corresponding statistical eye diagram has different probability distributions [15]. The difference between the BCH and RS codes is the unit of encoding and decoding. The BCH code encodes the data bits in a bit-wise fashion. However, the RS code encodes the data bits in a symbol-wise fashion. The symbol-wise encoding means that the errors are corrected for the given symbol. Thus, it affects the bit probability distribution as a result of the ECC. The BCH and RS codes improve BER performance as a result of the decoding. The encoded data also improve the SI performance in the case of an RS code. As can be seen in Figure 11b, the RS-encoded statistical eye diagram has a higher probability for ZERO. This means that the number of ZEROs is much larger than the number of ONEs. These ZERO-biased bits provide additional advantages: mitigated crosstalk noise and suppressed radiated electromagnetic interference (EMI) noise. The decreased number of rising and falling responses corresponds to a smaller number of bit transitions as discussed in the case of TMDS encoding. Likewise, each bit transition induces high-frequency radiation. Thus, the RS code also suppresses the radiated EMI during data transmission.

4. Discussion

The introduced previous works proposed statistical approaches to system-level eye diagrams. Studies on system-level statistical SI analyses still have to be addressed in the future to achieve the desired system performance evaluation in statistical and practical manners. The objective of a statistical SI analysis is to accurately evaluate a system in an efficient manner. The transient circuit simulation definitely has a limitation in terms of calculation and memory. Thus, a system-level analysis based on a circuit simulation is being replaced by a statistical SI analysis. However, a statistical SI analysis has a discrepancy due to the limited information of each system. The lack of information causes an impractical SI analysis. Therefore, the components in high-speed systems have to be interpreted to be integrated into a statistical eye diagram. In order to reflect the effect of the components in a statistical eye diagram, each component has to be modeled in terms of probability. Although this article reviews several previous studies, the technical trend drives the need to equip systems with more techniques to improve SI and BER performances. Thus, as the systems evolve, further study on statistical approaches to high-speed systems have to be conducted.
The introduced approach proposed here describes how each component of a high-speed system can be applied in a statistical approach. Typically, no high-speed system uses a single component to improve SI performance. Namely, all of the systems include several techniques. In other words, the above methods have to be considered for practical purposes. The combination of the techniques used is determined by the system designer. For the systems consisting of 8B/10B encoding and equalizers, the equalized SBR has to be applied to the statistical approach for 8B/10B encoding. If the system includes scrambling and an ECC, then the ECC has to be considered first. Because the purpose of the scrambling is to suppress the radiated EMI, the effects caused by the ECC have to be reflected first. Therefore, the priority of the high-speed component in the statistical approach can be defined like the above.
A statistical SI analysis can be utilized in a BER analysis if post-processing is applied. A BER analysis typically is fulfilled with a shmoo plot [47]. The shmoo plot shows the BER values depending on the system conditions such as supply voltage, threshold voltage, and operating frequency. The shmoo plot has been especially used in the silicon industry. Because of the statistical characteristic of the shmoo plot, any of the probability density functions (PDFs) are applicable. The typical parameters such as process, voltage, and temperature (PVT) variation can be analyzed in a statistical SI analysis. In other words, a statistical SI analysis is expandable depending on the post-processing. Thus, a statistical SI analysis is valuable in terms of expandability. The above benefits show the importance of a statistical approach to an SI analysis.

5. Conclusions

This article reviews the previous works on statistical approaches at the system level. Even though the eye diagram is a critical metric in an SI analysis, its time-consuming nature makes an SI analysis inefficient. Furthermore, the recent high-speed systems include several techniques to enhance SI performance. This makes an SI analysis more complicated than it was before. For the above, statistical approaches for high-speed systems have been introduced. This paper reviews not only the basics of a statistical eye diagram, but also the applications: encoding, equalizers, multi-level signaling, scrambling, and the ECC. The stochastic models for encoding were introduced for the 8B/10B and TMDS. The equalizers which are the CTLE, DFE, and pre-/de-emphasis are addressed. The obtained equalized SBRs were converted to the statistical eye diagram in the proposed method. Multi-level signaling has been used to increase bandwidth. However, it has a critical drawback of conversion loss. Hence, the insertion loss and the conversion loss have to be compared before applying multi-level signaling. The scaled channel responses were converted to the statistical eye diagram to compare the above losses. Scrambling has been used to suppress the radiated EMI noise. As a result of the scrambling, the bit probabilities were determined, which also affect the eye diagram. Thus, the statistical eye diagram for scrambling was introduced. The ECC has been used by an upper hierarchy for data integrity. It also affects the eye diagram by encoding the data bits, especially the RS code which lowers the ONE’s probability, which corresponds to the lower probability of the lower area of the statistical eye diagram. In conclusion, this paper thoroughly reviews the previous works on statistical eye diagrams at the system level.

Author Contributions

Conceptualization, J.P.; investigation, J.P.; writing—original draft preparation, J.P.; writing—review and editing, J.P. and H.P.; All authors have read and agreed to the published version of the manuscript.

Funding

The present research was supported by the research fund of Dankook University in 2024.

Conflicts of Interest

The author declares no conflicts of interest.

References

  1. Schaller, R.R. Moore’s law: Past, present and future. IEEE Spectr. 1997, 34, 52–59. [Google Scholar] [CrossRef]
  2. Sylvester, D.; Hu, C.; Nakagawa, O.; Oh, S.-Y. Interconnect scaling: Signal integrity and performance in future high-speed CMOS designs. In Proceedings of the 1998 Symposium on VLSI Technology Digest of Technical Papers (Cat. No.98CH36216), Honolulu, HI, USA, 9–11 June 1998. [Google Scholar] [CrossRef]
  3. Miller, C.M. High-Speed Digital Transmitter Characterization Using Eye Diagram Analysis. 1266 Hewlett-Packard J. 1994, 45, 29–37. [Google Scholar]
  4. Green, L. Understanding the importance of Signal integrity. IEEE Circuits Devices Mag. 1999, 15, 7–10. [Google Scholar] [CrossRef]
  5. Fan, J.; Ye, X.; Kim, J.; Archambeault, B.; Orlandi, A. Signal Integrity Design for high-speed digital circuits: Progress and directions. IEEE Trans. Electromagn. Compat. 2010, 52, 392–400. [Google Scholar] [CrossRef]
  6. Wu, T.-L.; Buesink, F.; Canavero, F. Overview of signal integrity and EMC Design Technologies on PCB: Fundamentals and latest progress. IEEE Trans. Electromagn. Compat. 2013, 55, 624–638. [Google Scholar] [CrossRef]
  7. Li, E.-P.; Wei, X.-C.; Cangellaris, A.C.; Liu, E.-X.; Zhang, Y.-J.; D’Amore, M.; Kim, J.; Sudo, T. Progress review of electromagnetic compatibility analysis technologies for packages, printed circuit boards, and novel interconnects. IEEE Trans. Electromagn. Compat. 2010, 52, 248–265. [Google Scholar] [CrossRef]
  8. Balanis, C.A. Advanced Engineering Electromagnetics; John Wiley & Sons: Hoboken, NJ, USA, 2012. [Google Scholar]
  9. Lauterbach, M. Getting more out of eye diagrams [circuit testing]. IEEE Spectr. 1997, 34, 60–63. [Google Scholar] [CrossRef]
  10. Kester, W. Analog-Digital Conversion; Analog Devices: Norwood, MA, USA, 2004. [Google Scholar]
  11. Vladimirescu, A. The Spice Book; John Wiley & Sons: New York, NY, USA, 1994. [Google Scholar]
  12. Sadiku, M.N.O.; Agba, L.C. A simple introduction to the transmission-line modeling. IEEE Trans. Circuits Syst. 1990, 37, 991–999. [Google Scholar] [CrossRef]
  13. Casper, B.K.; Haycock, M.; Mooney, R. An accurate and efficient analysis method for multi-GB/S chip-to-chip signaling schemes. In Proceedings of the 2002 Symposium on VLSI Circuits. Digest of Technical Papers (Cat. No.02CH37302), Honolulu, HI, USA, 13–15 June 2002. [Google Scholar] [CrossRef]
  14. Jeruchim, M. Techniques for estimating the bit error rate in the simulation of Digital Communication Systems. IEEE J. Sel. Areas Commun. 1984, 2, 153–170. [Google Scholar] [CrossRef]
  15. Park, J.; Kim, J. Generator polynomial model-based eye diagram estimation method for Bose-Chaudhuri-Hocquenghem (BCH) code and reed-solomon (RS) code. IEEE Trans. Electromagn. Compat. 2020, 62, 240–248. [Google Scholar] [CrossRef]
  16. Banu, M.; Dunlop, A. A 660 MB/s CMOS clock recovery circuit with instantaneous locking for NRZ data and burst-mode transmission. In Proceedings of the 1993 IEEE International Solid-State Circuits Conference Digest of Technical Papers, San Francisco, CA, USA, 24–26 February 1993; pp. 102–103. [Google Scholar] [CrossRef]
  17. Nogawa, M.; Nishimura, K.; Kimura, S.; Yoshida, T.; Kawamura, T.; Togashi, M.; Kumozaki, K.; Ohtomo, Y. A 10gb/s burst-mode CDR IC in 0.13ΜM CMOS. In Proceedings of the ISSCC. 2005 IEEE International Digest of Technical Papers. Solid-State Circuits Conference, San Francisco, CA, USA, 10 February 2005; Volume 3, pp. 228–230. [Google Scholar] [CrossRef]
  18. Cho, L.-C.; Lee, C.; Hung, C.-C.; Liu, S.-I. A 33.6-to-33.8 GB/s burst-mode CDR in 90 nm CMOS technology. IEEE J. Solid-State Circuits 2009, 44, 775–783. [Google Scholar] [CrossRef]
  19. Widmer, A.X.; Franaszek, P.A. A DC-balanced, partitioned-block, 8b/10b transmission code. IBM J. Res. Dev. 1983, 27, 440–451. [Google Scholar] [CrossRef]
  20. HDMI. HDMI Specifications and Programs, HDMI Technology: Specifications and Programs. Available online: https://www.hdmi.org/spec/index (accessed on 24 September 2024).
  21. Park, J.; Choi, S.; Kim, J.J.; Kim, Y.; Lee, M.; Kim, H.; Bae, B.; Song, H.; Cho, K.; Lee, S.; et al. A Novel Stochastic Model-Based Eye-Diagram Estimation Method for 8B/10B and TMDS-Encoded High-Speed Channels. IEEE Trans. Electromagn. Compat. 2018, 60, 1510–1519. [Google Scholar] [CrossRef]
  22. Chu, X.; Wang, W.; Wang, J.; Dai, X.; Fan, J.; Li, Y. Statistical Eye diagram analysis based on double-edge responses for coding buses. IEEE Trans. Electromagn. Compat. 2020, 62, 902–913. [Google Scholar] [CrossRef]
  23. Razavi, B. The design of an equalizer—Part one [the analog mind]. IEEE Solid-State Circuits Mag. 2021, 13, 7–160. [Google Scholar] [CrossRef]
  24. Razavi, B. The design of an equalizer—Part two [the analog mind]. IEEE Solid-State Circuits Mag. 2022, 14, 7–12. [Google Scholar] [CrossRef]
  25. Liu, J.; Lin, X. Feature—Equalization in high-speed communication systems. IEEE Circuits Syst. Mag. 2004, 4, 4–17. [Google Scholar] [CrossRef]
  26. Monsen, P. Feedback equalization for fading dispersive channels. IEEE Trans. Inf. Theory 1971, 17, 56–64. [Google Scholar] [CrossRef]
  27. Lee, J.; Chiang, P.-C.; Peng, P.-J.; Chen, L.-Y.; Weng, C.-C. Design of 56 GB/s NRZ and PAM4 serdes transceivers in CMOS Technologies. IEEE J. Solid-State Circuits 2015, 50, 2061–2073. [Google Scholar] [CrossRef]
  28. Austin, M. Decision-Feedback Equalization for Digital Communication over Dispersive Channels; Massachusetts Institute of Technology Research Laboratory of Electronics: Cambridge, MA, USA, 1967. [Google Scholar]
  29. Belfiore, C.A.; Park, J.H. Decision feedback equalization. Proc. IEEE 1979, 67, 1143–1156. [Google Scholar] [CrossRef]
  30. Razavi, B. The decision-feedback equalizer [a circuit for all seasons]. IEEE Solid-State Circuits Mag. 2017, 9, 13–132. [Google Scholar] [CrossRef]
  31. Jung, J.W.; Razavi, B. 2.4 a 25GB/s 5.8MW CMOS equalizer. In Proceedings of the 2014 IEEE International Solid-State Circuits Conference Digest of Technical Papers (ISSCC), San Francisco, CA, USA, 9–13 February 2014; pp. 44–45. [CrossRef]
  32. Park, J.; Kim, S.; Shin, T.; Lho, D.; Park, H.; Park, G.; Kim, J. Eye diagram prediction for Input/Output Buffer Information Specification-Algorithmic Modeling Interface (IBIS-AMI). In Proceedings of the 2019 Joint International Symposium on Electromagnetic Compatibility, Sapporo and Asia-Pacific Interna-tional Symposium on Electromagnetic Compatibility (EMC Sapporo/APEMC), Sapporo, Japan, 3–7 June 2019. [Google Scholar] [CrossRef]
  33. Dikhaminjia, N.; He, J.; Hernandez, E.; Tsiklauri, M.; Drewniak, J.; Chada, A.; Zvonkin, M.; Mutnury, B. High-speed serial link challenges using multi-level signaling. In Proceedings of the 2015 IEEE 24th Electrical Performance of Electronic Packaging and Systems (EPEPS), San Jose, CA, USA, 25–28 October 2015. [Google Scholar] [CrossRef]
  34. Park, J.; Jung, D.H.; Kim, B.; Choi, S.; Kim, Y.; Park, S.; Park, G.; Cho, K.; Lee, S.; Kim, J. A novel eye-diagram estimation method for pulse amplitude modulation with n-level (PAM-N) on stacked through-silicon vias. IEEE Trans. Electromagn. Compat. 2019, 61, 1198–1206. [Google Scholar] [CrossRef]
  35. Correia, D.; Shah, V.; Chua, C.; Amleshi, P. Performance comparison of different encoding schemes in backplane channel at 25Gbps+. In Proceedings of the 2013 IEEE International Symposium on Electromagnetic Compatibility, Denver, CO, USA, 5–9 August 2013; pp. 306–311. [Google Scholar] [CrossRef]
  36. Park, J.; Kim, J.J.; Choi, S.; Kim, Y.; Kim, H.; Kim, J. Eye-diagram estimation methods for voltage-and probability-dependent PAM-4 signal on stacked through-silicon vias (tsvs). In Proceedings of the 2017 IEEE 67th Electronic Components and Technology Conference (ECTC), Orlando, FL, USA, 30 May–2 June 2017; pp. 1724–1731. [Google Scholar] [CrossRef]
  37. Norte, D. Scrambling data signals for EMC Compliance. In Proceedings of the 2011 IEEE International Symposium on Electromagnetic Compatibility, Long Beach, CA, USA, 14–19 August 2011; Volume 53, pp. 471–475. [Google Scholar] [CrossRef]
  38. Golomb, S.W. Shift Register Sequences; Holden-Day: San Francisco, CA, USA, 1967. [Google Scholar]
  39. Park, J.; Park, S.; Kim, Y.; Park, G.; Park, H.; Lho, D.; Cho, K.; Lee, S.; Kim, D.-H.; Kim, J. Polynomial model-based Eye diagram estimation methods for LFSR-based bit streams in PRBS test and Scrambling. IEEE Trans. Electromagn. Compat. 2019, 61, 1867–1875. [Google Scholar] [CrossRef]
  40. Solomon, R. Abstract Algebra; American Mathematical Society: Providence, RI, USA, 2009. [Google Scholar]
  41. Jessie-Macwilliams, F.; Sloane, N.J.A. The Theory of Error Correcting Codes; North-Holland Pub. C: New York, NY, USA, 1977. [Google Scholar]
  42. Moon, T.K. Error Correction Coding: Mathematical Methods and Algorithms; John Wiley & Sons, Inc.: Hoboken, NJ, USA, 2021. [Google Scholar]
  43. Karthik, A.V.; Ray, S.; Roychowdhury, J. Bee: Predicting realistic worst case and stochastic eye diagrams by accounting for correlated bitstreams and coding strategies. In Proceedings of the The 20th Asia and South Pacific Design Automation Conference, Chiba, Japan, 19–22 January 2015; Volume 32, pp. 366–371. [Google Scholar] [CrossRef]
  44. Thompson, T. From Error-Correcting Codes Through Sphere Packings to Simple Groups; Carus Mathematical Monographs; American Mathematical Society (AMS): Providence, RI, USA, 1983. [Google Scholar] [CrossRef]
  45. Bose, R.C.; Ray-Chaudhuri, D.K. On a Class of Error-Correcting Binary Group Codes; Mathematical Sciences Directorate, Office of Scientific Research, U.S. Air Force: Washington, DC, USA, 1959. [Google Scholar]
  46. Reed, I.S.; Solomon, G. Polynomial codes over certain finite fields. J. Soc. Ind. Appl. Math. 1960, 8, 300–304. [Google Scholar] [CrossRef]
  47. Baker, K.; von Beers, J. Shmoo plotting: The Black Art of IC testing. In Proceedings of the Proceedings International Test Conference 1996. Test and Design Validity, Washington, DC, USA, 20–25 October 1996; pp. 932–933. [Google Scholar] [CrossRef]
Figure 1. The eye diagram is a critical SI metric to show electrical degradation such as crosstalk between channels and insertion loss by parasitic resistance and capacitance. The eye diagram is obtained by overlapping the received waveforms; thus, it requires a significant amount of acquisition time.
Figure 1. The eye diagram is a critical SI metric to show electrical degradation such as crosstalk between channels and insertion loss by parasitic resistance and capacitance. The eye diagram is obtained by overlapping the received waveforms; thus, it requires a significant amount of acquisition time.
Electronics 13 04387 g001
Figure 2. (a) The worst contour by the PDA method; (b) statistical eye diagram by the statistical approach. The PDA provides the inner-most contour of the eye diagram. Thus, limited information is provided. In contrast, the statistical eye diagram provides the probability distribution function (PDF) depending on the sampling time. The color represents the probability depending on the sampling time.
Figure 2. (a) The worst contour by the PDA method; (b) statistical eye diagram by the statistical approach. The PDA provides the inner-most contour of the eye diagram. Thus, limited information is provided. In contrast, the statistical eye diagram provides the probability distribution function (PDF) depending on the sampling time. The color represents the probability depending on the sampling time.
Electronics 13 04387 g002
Figure 3. Bit PDF in the statistical eye diagram. The PDF for the main cursors is from the channel response for bit ONE. The amplitude PDF is defined at the sampling time τ s a m p l i n g .
Figure 3. Bit PDF in the statistical eye diagram. The PDF for the main cursors is from the channel response for bit ONE. The amplitude PDF is defined at the sampling time τ s a m p l i n g .
Electronics 13 04387 g003
Figure 4. The above figures show the results of (a) 8B/10B and (b) TMDS encoding, respectively. Their purposes are opposite. Thus, the number of bit transitions is increased by 8B/10B encoding and decreased by TMDS encoding [21].
Figure 4. The above figures show the results of (a) 8B/10B and (b) TMDS encoding, respectively. Their purposes are opposite. Thus, the number of bit transitions is increased by 8B/10B encoding and decreased by TMDS encoding [21].
Electronics 13 04387 g004
Figure 5. The statistical eye diagrams have different probability distributions depending on the 8B/10B and TMDS encoders [21]: (a) eye diagram without the encoding, (b) eye diagram with 8B/10B encoding, and (c) the eye diagram with TMDS encoding. As a result of the encoding, the probabilities of the bit transitions are different for both cases. In the case of 8B/10B encoding, the non-transition area has a lower probability. The change in the probability can be identified from the darker area in the statistical eye diagram and the same is true for, the case of TMDS encoding.
Figure 5. The statistical eye diagrams have different probability distributions depending on the 8B/10B and TMDS encoders [21]: (a) eye diagram without the encoding, (b) eye diagram with 8B/10B encoding, and (c) the eye diagram with TMDS encoding. As a result of the encoding, the probabilities of the bit transitions are different for both cases. In the case of 8B/10B encoding, the non-transition area has a lower probability. The change in the probability can be identified from the darker area in the statistical eye diagram and the same is true for, the case of TMDS encoding.
Electronics 13 04387 g005
Figure 6. Equalized SBRs depend on the equalizer. (a) The SBR is the channel response for the input bits of 01000⋯. (b) The DFE mitigates the inter-symbol interference (ISI) based on the previous bits. Thus, the voltage level with a length of UI is attenuated after the single-bit pulse. (c,d) The pre-/de-emphasis also equalizes the ISI noise in the time domain. The pre-emphasis boosts the high frequencies; thus, the peak of the single-bit pulse is amplified. Likewise, the de-emphasis attenuates the high frequencies after the single-bit pulse. Therefore, the dip after the single-bit pulse is amplified by the de-emphasis. In other words, the emphasis amplifies the high-frequency signals locally in the time domain. (e) The CTLE mitigates the low frequencies or amplifies the high-frequency components in the frequency domain. The high-frequency signals over the whole pulse response are amplified by the CTLE [32].
Figure 6. Equalized SBRs depend on the equalizer. (a) The SBR is the channel response for the input bits of 01000⋯. (b) The DFE mitigates the inter-symbol interference (ISI) based on the previous bits. Thus, the voltage level with a length of UI is attenuated after the single-bit pulse. (c,d) The pre-/de-emphasis also equalizes the ISI noise in the time domain. The pre-emphasis boosts the high frequencies; thus, the peak of the single-bit pulse is amplified. Likewise, the de-emphasis attenuates the high frequencies after the single-bit pulse. Therefore, the dip after the single-bit pulse is amplified by the de-emphasis. In other words, the emphasis amplifies the high-frequency signals locally in the time domain. (e) The CTLE mitigates the low frequencies or amplifies the high-frequency components in the frequency domain. The high-frequency signals over the whole pulse response are amplified by the CTLE [32].
Electronics 13 04387 g006
Figure 7. Statistical eye diagrams depending on equalizers: (a) non-equalized channel, (b) DFE, (c) pre-emphasis, (d) de-emphasis, and (e) CTLE [32].
Figure 7. Statistical eye diagrams depending on equalizers: (a) non-equalized channel, (b) DFE, (c) pre-emphasis, (d) de-emphasis, and (e) CTLE [32].
Electronics 13 04387 g007
Figure 8. Single bit responses (SBRs) in multi-level signaling [34].
Figure 8. Single bit responses (SBRs) in multi-level signaling [34].
Electronics 13 04387 g008
Figure 9. Statistical eye diagram for the multi-level signaling [36]. The statistical eye diagrams have different PDFs on the logic level and the pulse levels. (a) When all of the logic levels have the same probability and the scaling factor, the statistical eye diagram is symmetric in terms of the probability and the distribution. (b) The asymmetry on the probability causes the asymmetric statistical eye diagram. (c) The different scaling on the pulse response also leads to the asymmetric PDF.
Figure 9. Statistical eye diagram for the multi-level signaling [36]. The statistical eye diagrams have different PDFs on the logic level and the pulse levels. (a) When all of the logic levels have the same probability and the scaling factor, the statistical eye diagram is symmetric in terms of the probability and the distribution. (b) The asymmetry on the probability causes the asymmetric statistical eye diagram. (c) The different scaling on the pulse response also leads to the asymmetric PDF.
Electronics 13 04387 g009
Figure 10. Statistical eye diagram depending on the scrambling. (a) When the biased data are given, it has a higher probability for either ONE or ZERO. The biased probability distribution is identified from the asymmetry of the probability. (b) After the scrambling, the corresponding eye diagram become symmetric which means the ONE and ZERO have the same probability [39].
Figure 10. Statistical eye diagram depending on the scrambling. (a) When the biased data are given, it has a higher probability for either ONE or ZERO. The biased probability distribution is identified from the asymmetry of the probability. (b) After the scrambling, the corresponding eye diagram become symmetric which means the ONE and ZERO have the same probability [39].
Electronics 13 04387 g010
Figure 11. Statistical eye diagram depending on the ECC. (a) The BCH code encodes the data bits in a bit-wise fashion, thus the effect of the BCH code on the eye diagram is not significant. (b) In contrast, the RS code encodes the data bits in a symbol-wise fashion, thus the RS code make the bit probability of ZERO higher [15].
Figure 11. Statistical eye diagram depending on the ECC. (a) The BCH code encodes the data bits in a bit-wise fashion, thus the effect of the BCH code on the eye diagram is not significant. (b) In contrast, the RS code encodes the data bits in a symbol-wise fashion, thus the RS code make the bit probability of ZERO higher [15].
Electronics 13 04387 g011
Table 1. ONE’s probabilities in the transient simulation [39].
Table 1. ONE’s probabilities in the transient simulation [39].
Data (before scrambling)
Bit index1234567
Probability0.5620.6290.4580.6490.4950.4870.476
LFSR   2 7 1 for scrambling
Bit index1234567
Probability0.5039
Scrambled data (after scrambling)
Bit index1234567
Probability0.5000.4990.5000.4990.5000.5000.500
Disclaimer/Publisher’s Note: The statements, opinions and data contained in all publications are solely those of the individual author(s) and contributor(s) and not of MDPI and/or the editor(s). MDPI and/or the editor(s) disclaim responsibility for any injury to people or property resulting from any ideas, methods, instructions or products referred to in the content.

Share and Cite

MDPI and ACS Style

Park, J.; Park, H. System-Level Statistical Eye Diagram for Signal Integrity. Electronics 2024, 13, 4387. https://doi.org/10.3390/electronics13224387

AMA Style

Park J, Park H. System-Level Statistical Eye Diagram for Signal Integrity. Electronics. 2024; 13(22):4387. https://doi.org/10.3390/electronics13224387

Chicago/Turabian Style

Park, Junyong, and Hyunwook Park. 2024. "System-Level Statistical Eye Diagram for Signal Integrity" Electronics 13, no. 22: 4387. https://doi.org/10.3390/electronics13224387

APA Style

Park, J., & Park, H. (2024). System-Level Statistical Eye Diagram for Signal Integrity. Electronics, 13(22), 4387. https://doi.org/10.3390/electronics13224387

Note that from the first issue of 2016, this journal uses article numbers instead of page numbers. See further details here.

Article Metrics

Back to TopTop