Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1145/2966986.2967013guideproceedingsArticle/Chapter ViewAbstractPublication PagesConference Proceedingsacm-pubtype
research-article

Cascade2D: A design-aware partitioning approach to monolithic 3D IC with 2D commercial tools

Published: 07 November 2016 Publication History

Abstract

Monolithic 3D IC (M3D) can continue to improve power, performance, area and cost beyond traditional Moore's law scaling limitations by leveraging the third-dimension and fine-grained monolithic inter-tier vias (MIVs). Several recent studies present methodologies to implement M3D designs, but most, if not all of these studies implement top and bottom tier separately after partitioning, which results in inaccurate buffer insertion. In this paper, we present a new methodology called ‘Cascade2D’ that utilizes design and micro-architecture insight to partition and implement an M3D design using 2D commercial tools. By modeling MIVs with sets of anchor cells and dummy wires, we implement and optimize both top and bottom tier simultaneously in a single 2D design. M3D designs of a commercial, in-order, 32-bit application processor at the foundry 28nm, 14/16nm and predictive 7nm technology nodes are implemented using this new methodology and we investigate the power, performance and area improvements over 2D designs. Our new methodology consistently outperforms the state-of-the-art M3D design flow with up to 4× better power savings. In the best case scenario, M3D designs from the Cascade2D flow show 25% better performance at iso-power and 20% lower power at isoperformance.

6. References

[1]
S. A. Panth, K. Sarriadi Y. Du, and S. K. Lim, “Design and CAD Methodologies for Low Power Gate-level Monolithic 3D ICs”, in Proc. Int. Symp. on Low Power Electronics and Design, 2014.
[2]
O. Billoint et al., “A Comprehensive Study of Monolithic 3D Cell on Cell Design Using Commercial 2D Tool”, in Proc. Design, Automation and Test in Europe, 2015.
[4]
S. Yang et al., “28nm Metal-gate High-K CMOS SoC Technology for High-Performance Mobile Applications”, in Proc. IEEE Custom Integrated Circuits Conf., 2011.
[5]
S.-Y. Wu et al., “A 16nm FinFET CMOS Technology for Mobile SoC and Computing Applications”, in Proc. IEEE Int. Electron Devices Meeting, 2013.
[6]
T. Song et al., “A 14nm FinFET 128Mb 6T SRAM with VMIN-Enhancement Techniques for Low-Power Applications”, in IEEE Int. Solid-State Circuits Conference Digest of Technical Papers, 2014.
[7]
K.-I. Seo et al., “A 10nm platform technology for low power and high performance application featuring FINFET devices with multi workfunction gate stack on bulk and SOI”, in Symposium on VLSI Technology Digest of Technical Papers, 2014.
[8]
K. Chang et al., “Match-making for Monolithic 3D IC: Finding the Right Technology Node”, in Proc. ACM Design Automation Conf., 2016.
[9]
P. Batude et al., “3DVLSI with CoolCube process: An alternative path to scaling”, in Symposium on VLSI Technology Digest of Technical Papers, 2015.

Cited By

View all
  • (2025)Toward Advancing 3D-ICs Physical Design: Challenges and OpportunitiesProceedings of the 30th Asia and South Pacific Design Automation Conference10.1145/3658617.3703135(294-301)Online publication date: 20-Jan-2025
  • (2025)Bandwidth-Latency-Thermal Co-Optimization of Interconnect-Dominated Many-Core 3D-ICIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2024.346714833:2(346-357)Online publication date: 1-Feb-2025
  • (2024)Heterogeneous Monolithic 3-D IC Designs: Challenges, EDA Solutions, and Power, Performance, Cost TradeoffsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.334737232:3(413-421)Online publication date: Mar-2024
  • Show More Cited By

Index Terms

  1. Cascade2D: A design-aware partitioning approach to monolithic 3D IC with 2D commercial tools
      Index terms have been assigned to the content through auto-classification.

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image Guide Proceedings
      2016 IEEE/ACM International Conference on Computer-Aided Design (ICCAD)
      Nov 2016
      946 pages

      Publisher

      IEEE Press

      Publication History

      Published: 07 November 2016

      Permissions

      Request permissions for this article.

      Qualifiers

      • Research-article

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 02 Mar 2025

      Other Metrics

      Citations

      Cited By

      View all
      • (2025)Toward Advancing 3D-ICs Physical Design: Challenges and OpportunitiesProceedings of the 30th Asia and South Pacific Design Automation Conference10.1145/3658617.3703135(294-301)Online publication date: 20-Jan-2025
      • (2025)Bandwidth-Latency-Thermal Co-Optimization of Interconnect-Dominated Many-Core 3D-ICIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2024.346714833:2(346-357)Online publication date: 1-Feb-2025
      • (2024)Heterogeneous Monolithic 3-D IC Designs: Challenges, EDA Solutions, and Power, Performance, Cost TradeoffsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2023.334737232:3(413-421)Online publication date: Mar-2024
      • (2024)Analytical Die-to-Die 3-D Placement With Bistratal Wirelength Model and GPU AccelerationIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.334729343:6(1624-1637)Online publication date: Jun-2024
      • (2024)NGLIC: A Nonaligned-Row Legalization Approach for 3-D Interdie ConnectionIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2023.331779443:2(404-416)Online publication date: Feb-2024
      • (2024)3D Partitioning with Pipeline Optimization for Low-Latency Memory Access in Many-Core SoCs2024 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS58744.2024.10558687(1-5)Online publication date: 19-May-2024
      • (2024)SERS-3DPlace: Ensemble Reinforcement Learning for 3D Monolithic Placement2024 IEEE International Symposium on Circuits and Systems (ISCAS)10.1109/ISCAS58744.2024.10558181(1-5)Online publication date: 19-May-2024
      • (2024)3D integration of 2D electronicsNature Reviews Electrical Engineering10.1038/s44287-024-00038-51:5(300-316)Online publication date: 25-Apr-2024
      • (2023)A PPA Study of Reinforced Placement Parameter Autotuning: Pseudo-3D vs. True-3D PlacersACM Transactions on Design Automation of Electronic Systems10.1145/358200728:5(1-22)Online publication date: 8-Sep-2023
      • (2023)Snap-3D: A Constrained Placement-Driven Physical Design Methodology for High Performance 3-D ICsIEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems10.1109/TCAD.2022.321876342:7(2331-2335)Online publication date: Jul-2023
      • Show More Cited By

      View Options

      View options

      Figures

      Tables

      Media

      Share

      Share

      Share this Publication link

      Share on social media