Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/2492708.2493086acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
research-article

Selective flexibility: breaking the rigidity of datapath merging

Published: 12 March 2012 Publication History

Abstract

Hardware specialization is often the key to efficiency for programmable embedded systems, but comes at the expense of flexibility. This paper combines flexibility and efficiency in the design and synthesis of domain-specific datapaths. We merge all individual paths from the Data Flow Graphs (DFGs) of the target applications, leading to a minimal set of required resources; this set is organized into a column of physical operators and cloned, thus generating a domain-specific rectangular lattice. A bus-based FPGA-style interconnection network is then generated and dimensioned to meet the needs of the applications. Our results demonstrate that the lattice has good flexibility: DFGs that were not used as part of the datapath creation phase can be mapped onto it with high probability. Compared to an ASIC design of a single DFG, the speed of our domain-specific coarse-grained reconfigurable datapath is degraded by a factor up to 2×, compared to 3--4× for an FPGA; similarly, our lattice is up to 10× larger than an ASIC, compared to 20--40× for an FPGA. We estimate that our array is up to 6× larger than an ASIC accelerator, which is synthesized using datapath merging and has limited or null generality.

References

[1]
G. Ansaloni, P. Bonzini, and L. Pozzi, "Design and architectural exploration of expression-grained reconfigurable arrays," in Proceedings of the 6th IEEE Symposium on Application Specific Processors, Anaheim, Calif., Jun. 2008, pp. 26--33.
[2]
V. Betz and J. Rose, "Automatic generation of FPGA routing architectures from high-level descriptions," in Proceedings of the 8th ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Monterey, Calif., Feb. 2000, pp. 175--84.
[3]
P. Brisk, A. Kaplan, and M. Sarrafzadeh, "Area-efficient instruction set synthesis for reconfigurable system-on-chip designs," in Proceedings of the 41st Design Automation Conference, San Diego, Calif., Jun. 2004, pp. 395--400.
[4]
N. Clark, H. Zhong, and S. Mahlke, "Processor acceleration through automated instruction set customisation," in Proceedings of the 36th Annual International Symposium on Microarchitecture, San Diego, Calif., Dec. 2003, pp. 129--40.
[5]
J. Cong, Y. Fan, G. Han, and Z. Zhang, "Application-specific instruction generation for configurable processor architectures," in Proceedings of the 12th ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Monterey, Calif., Feb. 2004, pp. 183--89.
[6]
J. Cong and W. Jiang, "Pattern-based behavior synthesis for FPGA resource reduction," in Proceedings of the 16th ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Monterey, Calif., Feb. 2008, pp. 107--16.
[7]
EEMBC Consortium, DENBench Version 1.0, Benchmark Name: MPEG-2 Decode, Feb. 2006, http://www.eembc.org/.
[8]
E. R. Gansner, E. Koutsofios, S. C. North, and K. phong Vo, "A technique for drawing directed graphs," IEEE Transactions on Software Engineering, vol. 19, no. 3, pp. 214--30, Mar. 1993.
[9]
S. Girbal, O. Temam, S. Yehia, H. Berry, and Z. Li, "A memory interface for multi-purpose multi-stream accelerators," in Proceedings of the International Conference on Compilers, Architectures, and Synthesis for Embedded Systems, Scottsdale, Ariz., Oct. 2010, pp. 107--16.
[10]
P. Ienne and R. Leupers, Eds., Customizable Embedded Processors---Design Technologies and Applications. San Mateo, Calif.: Morgan Kaufmann, 2006.
[11]
T. Kluter, S. Burri, P. Brisk, E. Charbon, and P. Ienne, "Virtual Ways: Efficient coherence for architecturally visible storage in automatic instruction set extensions," in High Performance Embedded Architectures and Compilers, ser. Lecture Notes in Computer Science. Heidelberg, Germany: Springer, 2010, vol. 5952, pp. 126--40.
[12]
I. Kuon and J. Rose, "Measuring the gap between FPGAs and ASICs," in Proceedings of the 14th ACM/SIGDA International Symposium on Field Programmable Gate Arrays, Monterey, Calif., Feb. 2006, pp. 21--30.
[13]
M. J. S. Smith, Application-Specific Integrated Circuits. Boston, Mass.: Addison-Wesley, 1997.
[14]
TMS320C64x DSP Library Programmer's Reference, Texas Instruments, Oct. 2003, lit. no. SPRU565B.
[15]
TMS320C64x Image/Video Processing Library Programmer's Reference, Texas Instruments, Oct. 2003, lit. no. SPRU023B.
[16]
TMS320C67x DSP Library Programmer's Reference, Texas Instruments, Jan. 2010, lit. no. SPRU657C.
[17]
ExpressDFG---Instruction Scheduling Benchmarks, University of California, Santa Barbara, Calif., http://express.ece.ucsb.edu/benchmark/.
[18]
A. Ye and J. Rose, "Using bus-based connections to improve field-programmable gate-array density for implementing datapath circuits," IEEE Transactions on Very Large Scale Integration (VLSI) Systems, vol. 14, no. 5, pp. 462--73, May 2006.
[19]
S. Yehia, S. Girbal, H. Berry, and O. Temam, "Reconciling specialization and flexibility through compound circuits," in Proceedings of the 15th International Symposium on High-Performance Computer Architecture, Raleigh, N. C., Feb. 2009, pp. 277--88.
[20]
J. W. Yoon, A. Shrivastava, S. Park, M. Ahn, R. Jeyapaul, and Y. Paek, "SPKM: A novel graph-drawing based algorithm for application mapping onto coarse-grained reconfigurable architectures," in Proceedings of the Asia and South Pacific Design Automation Conference, Seoul, Korea, Jan. 2008, pp. 776--82.
[21]
M. Zuluaga and N. Topham, "Design-space exploration of resource-sharing solutions for custom instruction set extensions," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, vol. CAD-28, no. 12, pp. 1788--1801, Dec. 2009.

Cited By

View all
  • (2013)A just-in-time customizable processorProceedings of the International Conference on Computer-Aided Design10.5555/2561828.2561930(524-531)Online publication date: 18-Nov-2013
  • (2013)Thermal-aware datapath merging for coarse-grained reconfigurable processorsProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485679(1649-1654)Online publication date: 18-Mar-2013

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
DATE '12: Proceedings of the Conference on Design, Automation and Test in Europe
March 2012
1690 pages
ISBN:9783981080186

Sponsors

Publisher

EDA Consortium

San Jose, CA, United States

Publication History

Published: 12 March 2012

Check for updates

Qualifiers

  • Research-article

Conference

DATE '12
Sponsor:
  • EDAA
  • EDAC
  • SIGDA
  • The Russian Academy of Sciences
DATE '12: Design, Automation and Test in Europe
March 12 - 16, 2012
Dresden, Germany

Acceptance Rates

Overall Acceptance Rate 518 of 1,794 submissions, 29%

Upcoming Conference

DATE '25
Design, Automation and Test in Europe
March 31 - April 2, 2025
Lyon , France

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)1
  • Downloads (Last 6 weeks)0
Reflects downloads up to 14 Nov 2024

Other Metrics

Citations

Cited By

View all
  • (2013)A just-in-time customizable processorProceedings of the International Conference on Computer-Aided Design10.5555/2561828.2561930(524-531)Online publication date: 18-Nov-2013
  • (2013)Thermal-aware datapath merging for coarse-grained reconfigurable processorsProceedings of the Conference on Design, Automation and Test in Europe10.5555/2485288.2485679(1649-1654)Online publication date: 18-Mar-2013

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media