Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/1899721.1899760acmconferencesArticle/Chapter ViewAbstractPublication PagesaspdacConference Proceedingsconference-collections
research-article

Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs

Published: 18 January 2010 Publication History

Abstract

In this paper, three effective design techniques are presented to effectively reduce the clock power consumption and slew of the 3D clock distribution network: (1) controlling the bound of through-silicon-vias (TSVs) used in between adjacent dies, (2) controlling the maximum load capacitance of the clock buffer, (3) adjusting the clock source location in the 3D stack. We discuss how these design factors affect the overall wirelength, clock power, slew, skew, and routing congestion in the practical 3D clock network design. SPICE simulation indicates that: (1) a 3D clock tree with multiple TSVs achieves up to 31% power saving, 52% wirelength saving and better slew control as compared with the single-TSV case; (2) by placing the clock source on the middle die in the 3D stack, an additional 7.7% power savings, 9.2% wirelength savings, and 33% TSV savings are obtained compared with the clock source on the topmost die. This work aims at helping designers construct reliable low-power and low-slew 3D clock network by making the right decisions on TSV usage, clock buffer insertion, and clock source placement.

References

[1]
P. J. Restle and et al., "A clock distribution network for microprocessors," in Solid-State Circuits, IEEE Journal of, vol. 36, no. 5, 2001, pp. 792--799.
[2]
E. Friedman, "Clock distribution networks in synchronous digital integratedcircuits," vol. 89, no. 5, May 2001, pp. 665--692.
[3]
Q. K. Zhu, "High-speed Clock Network Design," 2003.
[4]
J. U. Knickerbocker, P. S. Andry, and et al., "Three-dimensional Silicon Integration," in IBM Journal of Research and Development, vol. 52, no. 6, 2008, pp. 553--569.
[5]
J. Vardaman, "3-D Through-Silicon Vias Become a Reality," 2007, http://www.semiconductor.net/article/CA6445435.html.
[6]
S. L. Wright, P. S. Andry, E. Sprogis, B. Dang, and R. J. Polastre, "Reliability testing of through-silicon vias for high-current 3D applications," in Electronic Components and Technology Conference, 2008. ECTC 2008. 58th, 2008, pp. 879--883.
[7]
J. Minz, X. Zhao, and S. K. Lim, "Buffered clock tree synthesis for 3D ICs under thermal variations," in Proc. Asia and South Pacific Design Automation Conf., 2008, pp. 504--509.
[8]
X. Zhao, D. L. Lewis, H.-H. S. Lee, and S. K. Lim, "Pre-bond Testable Low-Power Clock Tree Design for 3D Stacked ICs," in Proc. IEEE Int. Conf. on Computer-Aided Design, 2009, pp. 184--190.
[9]
V. F. Pavlidis, I. Savidis, and E. G. Friedman, "Clock distribution networks for 3-D ictegrated Circuits," in Custom Integrated Circuits Conference, 2008. CICC 2008. IEEE, 2008, pp. 651--654.
[10]
V. Arunachalam and W. Burleson, "Low-power clock distribution in a multilayer core 3d microprocessor," in Proceedings of the 18th ACM Great Lakes symposium on VLSI, 2008, pp. 429--434.
[11]
K. Boese and A. Kahng, "Zero-skew clock routing trees with minimum wirelength," in ASIC Conference and Exhibit, 1992., Proceedings of Fifth Annual IEEE International, 1992, pp. 17--21.
[12]
G. E. Tellez and M. Sarrafzadeh, "Minimal Buffer Insertion in Clock Trees with Skew and Slew Rate Constraints," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 16, no. 4, pp. 333--342, April 1997.
[13]
C. Albrecht, A. B. Kahng, B. Liu, I. I. Mandoiu, and A. Z. Zelikovsky, "On the Skew-Bounded Minimum-Buffer Routing Tree Problem," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 22, no. 7, pp. 937--945, July 2003.
[14]
S. Hu, C. Alpert, J. Hu, S. Karandikar, Z. Li, W. Shi, and C. Sze, "Fast Algorithms for Slew-Constrained Minimum Cost Buffering," IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems, vol. 26, no. 11, pp. 2009--2022, Nov. 2007.
[15]
Predictive Technology Model, http://www.eas.asu.edu/ptm/.
[16]
G. Benchmark, http://vlsicad.ucsd.edu/GSRC/bookshelf/Slots/BST.
[17]
P. Ramm, M. J. Wolf, A. Klumpp, R. Wieland, B. Wunderle, B. Michel, and H. Reichl, "Through silicon via technology-processes and reliability for wafer-level 3D system integration," in Electronic Components and Technology Conference, 2008. ECTC 2008. 58th, 2008, pp. 841--846.

Cited By

View all
  • (2019)Thermal-aware 3D Symmetrical Buffered Clock Tree SynthesisACM Transactions on Design Automation of Electronic Systems10.1145/331379824:3(1-22)Online publication date: 5-Apr-2019
  • (2016)A Study of 3-D Power Delivery Networks With Multiple Clock DomainsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.254927524:11(3218-3231)Online publication date: 1-Nov-2016
  • (2012)Multiobjective optimization of deadspace, a critical resource for 3D-IC integrationProceedings of the International Conference on Computer-Aided Design10.1145/2429384.2429538(705-712)Online publication date: 5-Nov-2012
  • Show More Cited By
  1. Power and slew-aware clock network design for through-silicon-via (TSV) based 3D ICs

    Recommendations

    Comments

    Please enable JavaScript to view thecomments powered by Disqus.

    Information & Contributors

    Information

    Published In

    cover image ACM Conferences
    ASPDAC '10: Proceedings of the 2010 Asia and South Pacific Design Automation Conference
    January 2010
    920 pages
    ISBN:9781605588377

    Sponsors

    Publisher

    IEEE Press

    Publication History

    Published: 18 January 2010

    Check for updates

    Qualifiers

    • Research-article

    Conference

    ASPDAC '10
    Sponsor:

    Acceptance Rates

    Overall Acceptance Rate 466 of 1,454 submissions, 32%

    Upcoming Conference

    ASPDAC '25

    Contributors

    Other Metrics

    Bibliometrics & Citations

    Bibliometrics

    Article Metrics

    • Downloads (Last 12 months)0
    • Downloads (Last 6 weeks)0
    Reflects downloads up to 18 Nov 2024

    Other Metrics

    Citations

    Cited By

    View all
    • (2019)Thermal-aware 3D Symmetrical Buffered Clock Tree SynthesisACM Transactions on Design Automation of Electronic Systems10.1145/331379824:3(1-22)Online publication date: 5-Apr-2019
    • (2016)A Study of 3-D Power Delivery Networks With Multiple Clock DomainsIEEE Transactions on Very Large Scale Integration (VLSI) Systems10.1109/TVLSI.2016.254927524:11(3218-3231)Online publication date: 1-Nov-2016
    • (2012)Multiobjective optimization of deadspace, a critical resource for 3D-IC integrationProceedings of the International Conference on Computer-Aided Design10.1145/2429384.2429538(705-712)Online publication date: 5-Nov-2012
    • (2012)Effect of process variations in 3D global clock distribution networksACM Journal on Emerging Technologies in Computing Systems10.1145/2287696.22877038:3(1-25)Online publication date: 15-Aug-2012
    • (2012)Clock tree synthesis with methodology of re-use in 3D ICProceedings of the 49th Annual Design Automation Conference10.1145/2228360.2228559(1094-1099)Online publication date: 3-Jun-2012
    • (2011)Clock Tree synthesis for TSV-based 3D IC designsACM Transactions on Design Automation of Electronic Systems10.1145/2003695.200370816:4(1-21)Online publication date: 27-Oct-2011
    • (2010)Process-induced skew variation for scaled 2-D and 3-D ICsProceedings of the 12th ACM/IEEE international workshop on System level interconnect prediction10.1145/1811100.1811107(17-24)Online publication date: 13-Jun-2010

    View Options

    Login options

    View options

    PDF

    View or Download as a PDF file.

    PDF

    eReader

    View online with eReader.

    eReader

    Media

    Figures

    Other

    Tables

    Share

    Share

    Share this Publication link

    Share on social media