Nothing Special   »   [go: up one dir, main page]

skip to main content
10.5555/1870926.1871147acmconferencesArticle/Chapter ViewAbstractPublication PagesdateConference Proceedingsconference-collections
research-article

Increasing PCM main memory lifetime

Published: 08 March 2010 Publication History

Abstract

The introduction of Phase-Change Memory (PCM) as a main memory technology has great potential to achieve a large energy reduction. PCM has desirable energy and scalability properties, but its use for main memory also poses challenges such as limited write endurance with at most 107 writes per bit cell before failure. This paper describes techniques to enhance the lifetime of PCM when used for main memory. Our techniques are (a) writeback minimization with new cache replacement policies, (b) avoidance of unnecessary writes, which write only the bit cells that are actually changed, and (c) endurance management with a novel PCM-aware swap algorithm for wear-leveling. A failure detection algorithm is also incorporated to improve the reliability of PCM. With these approaches, the lifetime of a PCM main memory is increased from just a few days to over 8 years.

References

[1]
N. AbouGhazaleh, B. Childers, D. Mossé, and R. Melhem, "Power management in external memory using PA-CDRAM," in The Int'l. Journal for Embedded Systems (IJES), vol. 3--1, 2007, pp. 65--72.
[2]
W. Zhang and T. Li, "Exploring phase change memory and 3D die-stacking for power/thermal friendly, fast and durable memory architectures," in Int'l. Conf. on Parallel Architectures and Compilation Techniques (PACT), 2009, pp. 101--112.
[3]
M. K. Qureshi, V. Srinivasan, and J. A. Rivers, "Scalable high performance main memory system using phase-change memory technology," in Int'l. Symp. on Computer Architecture (ISCA), 2009, pp. 24--33.
[4]
B. C. Lee, E. Ipek, O. Mutlu, and D. Burger, "Architecting phase change memory as a scalable dram alternative," in Int'l. Symp. on Computer Architecture (ISCA), 2009, pp. 2--13.
[5]
P. Zhou, B. Zhao, J. Yang, and Y. Zhang, "A durable and energy efficient main memory using phase change memory technology," in Int'l. Symp. on Computer Architecture (ISCA), 2009, pp. 14--23.
[6]
S. Raoux et al., "Phase-change random access memory: A scalable technology," in IBM Journal of Research and Development, vol. 52, no. 4, 2008, pp. 465--479.
[7]
S. Mylavarapu, S. Choudhuri, A. Shrivastava, J. Lee, and T. Givargis, "Fsaf: File system aware flash translation layer for nand flash memories," in DATE '09., April 2009, pp. 399--404.
[8]
K. Takeuchi, "Novel co-design of nand flash memory and nand flash controller circuits for sub-30 nm low-power high-speed solid-state drives (ssd)," Solid-State Circuits, IEEE Journal of, vol. 44, no. 4, pp. 1227--1234, April 2009.
[9]
"Process integration, devices and structures," in Int'l. Technology Roadmap for Semiconductors, 2007.
[10]
Lee, K. J. et al, "A 90 nm 1.8 v 512 mb diode-switch pram with 266 mb/s read throughput," Solid-State Circuits, IEEE Journal of, vol. 43, no. 1, pp. 150--162, Jan. 2008.
[11]
Kang et al, "A 0.1 μm 1.8V 256Mb 66MHz Synchronous Burst PRAM," in IEEE Int'l. Solid-State Circuits Conf. (ISSCC), 2006.

Cited By

View all
  • (2022)Architecting Optically Controlled Phase Change MemoryACM Transactions on Architecture and Code Optimization10.1145/353325219:4(1-26)Online publication date: 7-Dec-2022
  • (2022)Software-Managed Read and Write Wear-Leveling for Non-Volatile Main MemoryACM Transactions on Embedded Computing Systems10.1145/348383921:1(1-24)Online publication date: 10-Feb-2022
  • (2022)An Energy-Efficient DRAM Cache Architecture for Mobile Platforms With PCM-Based Main MemoryACM Transactions on Embedded Computing Systems10.1145/345199521:1(1-22)Online publication date: 14-Jan-2022
  • Show More Cited By

Recommendations

Comments

Please enable JavaScript to view thecomments powered by Disqus.

Information & Contributors

Information

Published In

cover image ACM Conferences
DATE '10: Proceedings of the Conference on Design, Automation and Test in Europe
March 2010
1868 pages
ISBN:9783981080162

Sponsors

  • EDAA: European Design Automation Association
  • ECSI
  • EDAC: Electronic Design Automation Consortium
  • SIGDA: ACM Special Interest Group on Design Automation
  • The IEEE Computer Society TTTC
  • The IEEE Computer Society DATC
  • The Russian Academy of Sciences: The Russian Academy of Sciences

Publisher

European Design and Automation Association

Leuven, Belgium

Publication History

Published: 08 March 2010

Check for updates

Qualifiers

  • Research-article

Conference

DATE '10
Sponsor:
  • EDAA
  • EDAC
  • SIGDA
  • The Russian Academy of Sciences
DATE '10: Design, Automation and Test in Europe
March 8 - 12, 2010
Germany, Dresden

Acceptance Rates

Overall Acceptance Rate 518 of 1,794 submissions, 29%

Contributors

Other Metrics

Bibliometrics & Citations

Bibliometrics

Article Metrics

  • Downloads (Last 12 months)5
  • Downloads (Last 6 weeks)0
Reflects downloads up to 24 Sep 2024

Other Metrics

Citations

Cited By

View all
  • (2022)Architecting Optically Controlled Phase Change MemoryACM Transactions on Architecture and Code Optimization10.1145/353325219:4(1-26)Online publication date: 7-Dec-2022
  • (2022)Software-Managed Read and Write Wear-Leveling for Non-Volatile Main MemoryACM Transactions on Embedded Computing Systems10.1145/348383921:1(1-24)Online publication date: 10-Feb-2022
  • (2022)An Energy-Efficient DRAM Cache Architecture for Mobile Platforms With PCM-Based Main MemoryACM Transactions on Embedded Computing Systems10.1145/345199521:1(1-22)Online publication date: 14-Jan-2022
  • (2021)NVLSM: A Persistent Memory Key-Value Store Using Log-Structured Merge Tree with Accumulative CompactionACM Transactions on Storage10.1145/345330017:3(1-26)Online publication date: 16-Aug-2021
  • (2020)Improving phase change memory performance with data content aware accessProceedings of the 2020 ACM SIGPLAN International Symposium on Memory Management10.1145/3381898.3397210(30-47)Online publication date: 16-Jun-2020
  • (2020)Reuse Distance-based Victim Cache for Effective Utilisation of Hybrid Main Memory SystemACM Transactions on Design Automation of Electronic Systems10.1145/338073225:3(1-32)Online publication date: 28-Feb-2020
  • (2019)Software wear management for persistent memoriesProceedings of the 17th USENIX Conference on File and Storage Technologies10.5555/3323298.3323303(45-63)Online publication date: 25-Feb-2019
  • (2019)LiwePMSACM Journal on Emerging Technologies in Computing Systems10.1145/332796315:3(1-24)Online publication date: 10-Jun-2019
  • (2019)Reducing Writebacks Through In-Cache DisplacementACM Transactions on Design Automation of Electronic Systems10.1145/328918724:2(1-21)Online publication date: 10-Jan-2019
  • (2018)M-CLOCKACM Transactions on Storage10.1145/321673014:3(1-17)Online publication date: 3-Oct-2018
  • Show More Cited By

View Options

Get Access

Login options

View options

PDF

View or Download as a PDF file.

PDF

eReader

View online with eReader.

eReader

Media

Figures

Other

Tables

Share

Share

Share this Publication link

Share on social media