Nothing Special   »   [go: up one dir, main page]

skip to main content
10.1109/MICRO.2008.4771807acmconferencesArticle/Chapter ViewAbstractPublication PagesmicroConference Proceedingsconference-collections
Article

Evaluating the effects of cache redundancy on profit

Published: 08 November 2008 Publication History

Abstract

Previous works in computer architecture have mostly neglected revenue and/or profit, key factors driving any design decision. In this paper, we evaluate architectural techniques to optimize for revenue/profit. The continual trend of technology scaling and sub-wavelength lithography has caused transistor feature sizes to shrink into the nanoscale range. As a result, the effects of process variations on critical path delay and chip yields have amplified. A common concept to remedy the effects of variations is speed-binning, by which chips from a single batch are rated by a discrete range of frequencies and sold at different prices. An efficient binning distribution thus decides the profitability of the chip manufacturer. We propose and evaluate a cache-redundancy scheme called substitute cache, which allows the chip manufacturers to modify the number of chips in different bins. Particularly, this technique introduces a small fully associative array associated with each cache way to replicate the data elements that will be stored in the high latency lines, and hence can be effectively used to boost up the overall chip yield and also shift the chip binning distribution towards higher frequencies. We also develop models based on linear regression and neural networks to accurately estimate the chip prices from their architectural configurations. Using these estimation models, we find that our substitute cache scheme can potentially increase the revenue for the batch of chips by as much as 13.1%.

References

[1]
A. Agarwal, B. C. Paul, H. Mahmoodi, A. Datta, and K. Roy, "A Process-Tolerant Cache Architecture for Improved Yield in Nanoscale Technologies," IEEE Trans. Very Large Scale Integrated Systems, vol. 13, pp. 27-38, 2005.
[2]
D. Albonesi, "Selective Cache Ways: On-demand Cache Resource Allocation," in Intl. Symposium on Microarchitecture, Haifa, Israel, pp. 248-259, Nov. 1999.
[3]
B. S. Amrutur and M. A. Horowitz, "Speed and Power Scaling of SRAM's," IEEE Trans. on Solid-State Circuits, vol. 35, pp. 175-185, Feb. 2000.
[4]
R. Bai, N.-S. Kim, D. Sylvester, and T. Mudge, "Total leakage optimization strategies for multi-level caches," in ACM Great Lakes symposium on VLSI, Chicago, Illinois, 2005.
[5]
S. Borkar, T. Karnik, S. Narendra, J. Tschanz, A. Keshavarzi, and V. De, "Parameter Variations and Impact on Circuits and Microarchitectures," in Proc. of the Design Automation Conference, Anaheim, CA, pp. 338-342, 2003.
[6]
K. A. Bowman and J. D. Meindl, "Impact of die-to-die and within-die parameter fluctuations on the maximum clock frequency distribution for gigascale integration," IEEE Journal of Solid State Electronics, vol. 37(2), Feb. 2002.
[7]
W. Bryg and J. Alabado, "The UltraSPARC T1 Processor - Reliability, Availability, and Serviceability."
[8]
Y. Cao, T. Sato, D. Sylvester, M. Orshansky, and C. Hu, "New Paradigm of Predictive MOSFET and Interconnect Modeling for Early Circuit Design," in Custom Integrated Circuits Conference, Orlando, FL, pp. 201-204, 2000.
[9]
S. H. Choi, B. C. Paul, and K. Roy, "Novel Sizing Algorithm for Yield Improvement Under Process Variation in Nanometer Technology," in Proc. of the Design Automation Conference San Diego, CA, 2004, pp. 454-459.
[10]
O. Coudert, "Gate Sizing: A General Purpose Optimization Approach," in European Design and Test Conference, p. 214, 1996.
[11]
A. Das, S. Ozdemir, G. Memik, J. Zambreno, and A. Choudhary, "Mitigating the effects of process variations: Architectural approaches for improving batch performance", presented at Workshop on Architectural Support for Gigascale Integration (ASGI), San Diego, CA, June 2007.
[12]
A. Datta, S. Bhunia, J. H. Choi, S. Mukhopadhyay, and K. Roy, "Speed Binning Aware Design Methodology to Improve Profit Under Parameter Variations," in Proc. of the Conf. on Asia South Pacific Design Automation, Yokohama, Japan, pp. 712-717, 2006.
[13]
K. Flautner, N. S. Kim, S. Martin, D. Blaauw, and T. Mudge, "Drowsy Caches: Simple Techniques for Reducing Leakage Power," in International Conference on Computer Architecture (ISCA), Anchorage, Alaska, pp. 148-157, 2002.
[14]
P. Friedberg, Y. Cao, J. Cain, R. Wang, J. Rabaey, and C. Spanos, "Modeling Within-Die Spatial Correlation Effects for Process-Design Co-Optimization," in Proc. of the Intl. Symposium on Quality of Electronic Design, San Jose, CA, pp. 516-521, 2005.
[15]
E. Humenay, D. Tarjan, and K. Skadron, "Impact of Parameter Variations on Multi-Core Chips," in Workshop on Architectural Support for Gigascale Integration, June 2006.
[16]
Intel, "Intel Processor Pricing", Available at http://www.intel.com/intel/finance/pricelist/processor_price_list.pdf? iid=InvRel+pricelist_pdf, 2006.
[17]
E. Ïpek, S. A. McKee, R. Caruana, B. R. d. Supinski, and M. Schulz, "Efficiently exploring architectural design spaces via predictive modeling," in Intl. Conf. on Architectural Support for Programming Languages and Operating Systems (ASPLOS), San Jose, CA, October 2006.
[18]
N. P. Jouppi, "Improving direct-mapped cache performance by the addition of a small fully-associative cache and prefetch buffers," ACM SIGARCH Computer Architecture News vol. 18, pp. 364-373, 1990.
[19]
S. Kaxiras, Z. Hu, and M. Martonosi, "Cache Decay: Exploiting Generational Behavior to Reduce Cache Leakage Power," in International Conference on Computer Architecture (ISCA), Göteborg, Sweden, 2001.
[20]
N. S. Kim, D. Blaauw, and T. Mudge, "Leakage Power Optimization Techniques for Ultra Deep Sub-Micron Multi-Level Caches," in International Conference on Computer Aided Design, p. 627, 2003.
[21]
B. C. Lee and D. M. Brooks, "Accurate and efficient regression modeling for microarchitectural performance and power prediction," in Intl. Conf. on Architectural Support for Programming Languages and Operating Systems, San Jose, CA, October 2006.
[22]
H. Lee, S. Cho, and B. R. Childers, "Exploring the Interplay of Yield, Area, and Performance in Processor Caches," in International Conference on Computer Design (ICCD), Lake Tahoe, CA, October 2007.
[23]
X. Liang and D. Brooks, "Mitigating the Impact of Process Variations on CPU Register File and Execution Units," in International Symposium on Microarchitecture, Orlando, FL, December 2006.
[24]
X. Liang, R. Canal, G.-Y. Wei, and D. Brooks, "Process Variation Tolerant 3T1D-Based Cache Architectures," in 40th International Symposium on Microarchitecture, Chicago, IL, December 2007.
[25]
X. Liang, G.-Y. Wei, and D. Brooks, "ReVIVaL: A Variarion-Tolerant Architecture Using Voltage Interpolation and Variable Latency," in Internation Symposium on Computer Architecture, Beijing, China, June 2008.
[26]
M. Miller, "Manufacturing-aware Design Helps Boost IC Yield", Available at http://www.eetimes.com/news/design/features/show Article.jhtml;?art icleID=47102054, Sep. 2004.
[27]
S. Naffziger, G. Colon-Bonet, T. Fischer, R. Riedlinger, T. Sullivan, and T. Grutkowski, "The Implementation of the Itanium 2 Microprocessor," IEEE Journal of Solid State Circuits, vol. 37, November 2002.
[28]
S. R. Nassif, "Modeling and Analysis of Manufacturing Variations," in IEEE Conference on Custom Integrated Circuits, San Diego, CA, pp. 223-228, May 2001.
[29]
S. Natarajan, M. A. Breuer, and S. K. Gupta, "Process Variations and their Impact on Circuit Operation," in International Symposium on Defect and Fault Tolerance in VLSI Systems, p. 73, 1999.
[30]
S. Ozdemir, D. Sinha, G. Memik, J. Adams, and H. Zhou, "Yield-Aware Cache Architectures," in International Symposium on Microarchitecture, Orlando, FL, December 2006.
[31]
B. Ozisikylmaz, A. Das, G. Memik, and A. Choudhary, "Processor Price Prediction Models", Available at http://www.ece.northwestern.edu/~boz283/Processor_Price_Predictio n.html, 2008.
[32]
M. Powell, S.-H. Yang, B. Falsa, K. Roy, and T. Vijaykumar, "Gated-Vdd: A Circuit Technique to Reduce Leakage in Deep-Submicron Cache Memories," in ACM/IEEE Intl. Symposium on Low Power Electronics and Design, Rapallo, Italy, pp. 90-95, 2000.
[33]
S. Raj, S. B. K. Vrudhula, and J. Wang, "A Methodology to Improve Timing Yield in the Presence of Process Variations," in Proc. of the Conf. on Design Automation, San Diego, CA, pp. 448-453, 2004.
[34]
R. Rao, D. Blaauw, D. Sylvester, and A. Devgan, "Modeling and Analysis of Parametric Yield under Power and Performance Constraints," IEEE Des. Test, vol. 22, pp. 376-385, 2005.
[35]
R. Rao, A. Srivastava, D. Blaauw, and D. Sylvester, "Statistical Estimation of Leakage Current Considering Inter- and Intra-Die Process Variation," in ISLPED '03, Seoul, Korea, August 25-27, 2003.
[36]
A. Raychowdhury, S. Ghosh, S. Bhunia, D. Ghosh, and K. Roy, "A Novel On-chip Delay Measurement Hardware for Efficient Speed Binning," in Intl. Online Testing Symposium, France,
[37]
B. F. Romanescu, M. E. Bauer, D. J. Sorin, and S. Ozev, "A Case for Computer Architecture Performance Metrics that Reflect Process Variability," Duke University, Dept. of ECE, May 2007.
[38]
B. F. Romanescu, M. E. Bauer, D. J. Sorin, and S. Ozev, "Reducing the Impact of Intra-Core Process Variability with Criticality-Based Resource Allocation and Prefetching," in ACM International Conference on Computing Frontiers, Ischia, Italy, May 2008.
[39]
P. Shivakumar, S. Keckler, C. Moore, and D. Burger, "Exploiting microarchitectural redundancy for defect tolerance," in International Conference on Computer Design (ICCD), pp. 481-488, October 2003.
[40]
P. Shivakumar and Norman Jouppi, "CACTI 3.0: An Integrated Cache Timing, Power, and Area Model," WRL Research Report.
[41]
G. S. Sohi, "Cache Memory Organization to Enhance the Yield of High Performance VLSI Processors," IEEE Trans. Comput., vol. 38, pp. 484-492.
[42]
SPSS_Inc, "SPSS Clementine version 11", Available at http://www.spss.com/clementine.
[43]
Sun, "OpenSPARC T1", Available at http://opensparc- t1. sunsource.net/index.html.
[44]
S. H. Tadas and C. Chakrabarti, "Architectural approaches to reduce leakage energy in caches," in International Symposium on Circuits and Systems.
[45]
P. Tan, M. Steinbach, and V. Kumar, "Introduction to Data mining," Addison-Wesley, 2005.
[46]
R. Teodorescu and J. Torrellas, "Variation-Aware Application Scheduling and Power Management for Chip Multiprocessors," in International Symposium on Computer Architecture (ISCA) Beijing, China, June 2008.
[47]
The_R_Foundation, "The R Project for Statistical Computing", Available at http://www.r-project.org/.
[48]
A. Tiwari, S. R. Sarangi, and J. Torellas, "ReCycle: Pipeline Adaptation to Tolerate Process Variation," in International Symposium on Computer Architecture, San Jose, CA, June 2007.
[49]
J. W. Tschanz, "SUB 45nm Low Power Design Challenges", presented at International Symposium on Quality Electronic Design San Jose, CA, 2007.
[50]
O. S. Unsal, J. W. Tschanz, K. Bowman, V. De, X. Vera, A. González, and O. Ergin, "Impact of Parameter Variations on Circuits and Microarchitecture " IEEE Micro, vol. 26, pp. 30-39, November/December 2006
[51]
S. Yang, M. Powell, B. Falsafi, K. Roy, and T. N. Vijaykumar, "An integrated circuit/architecture approach to reducing leakage indeep-submicron high-performance I-caches," in International Symposium on High-Performance Computer Architecture, pp. 147-157, 2001.
[52]
S. Yang, M. D. Powell, B. Falsafi, and T. N. Vijaykumar, "Exploiting choice in resizable cache design to optimize deep-submicron processor energy-delay," in International Symposium on High-Performance Computer Architecture, pp. 151-161, 2002.

Cited By

View all
  • (2016)A Survey of Architectural Techniques for Managing Process VariationACM Computing Surveys10.1145/287116748:4(1-29)Online publication date: 9-Feb-2016
  • (2011)RVCProceedings of the 6th International Conference on High Performance and Embedded Architectures and Compilers10.1145/1944862.1944878(97-106)Online publication date: 24-Jan-2011
  • (2009)Variation-tolerant non-uniform 3D cache management in die stacked multicore processorProceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture10.1145/1669112.1669141(222-231)Online publication date: 12-Dec-2009
  1. Evaluating the effects of cache redundancy on profit

      Recommendations

      Comments

      Please enable JavaScript to view thecomments powered by Disqus.

      Information & Contributors

      Information

      Published In

      cover image ACM Conferences
      MICRO 41: Proceedings of the 41st annual IEEE/ACM International Symposium on Microarchitecture
      November 2008
      483 pages
      ISBN:9781424428366

      Sponsors

      Publisher

      IEEE Computer Society

      United States

      Publication History

      Published: 08 November 2008

      Check for updates

      Qualifiers

      • Article

      Conference

      MICRO-41
      Sponsor:

      Acceptance Rates

      MICRO 41 Paper Acceptance Rate 40 of 210 submissions, 19%;
      Overall Acceptance Rate 484 of 2,242 submissions, 22%

      Contributors

      Other Metrics

      Bibliometrics & Citations

      Bibliometrics

      Article Metrics

      • Downloads (Last 12 months)0
      • Downloads (Last 6 weeks)0
      Reflects downloads up to 14 Nov 2024

      Other Metrics

      Citations

      Cited By

      View all
      • (2016)A Survey of Architectural Techniques for Managing Process VariationACM Computing Surveys10.1145/287116748:4(1-29)Online publication date: 9-Feb-2016
      • (2011)RVCProceedings of the 6th International Conference on High Performance and Embedded Architectures and Compilers10.1145/1944862.1944878(97-106)Online publication date: 24-Jan-2011
      • (2009)Variation-tolerant non-uniform 3D cache management in die stacked multicore processorProceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture10.1145/1669112.1669141(222-231)Online publication date: 12-Dec-2009

      View Options

      Get Access

      Login options

      View options

      PDF

      View or Download as a PDF file.

      PDF

      eReader

      View online with eReader.

      eReader

      Media

      Figures

      Other

      Tables

      Share

      Share

      Share this Publication link

      Share on social media